文档库 最新最全的文档下载
当前位置:文档库 › 通信系统综合实验报告实验报告

通信系统综合实验报告实验报告

通信系统综合实验报告实验报告
通信系统综合实验报告实验报告

班级研01-12

学号

西安电子科技大学

通信系统综合实验报告

学院:通信工程学院

班级:研01-12

专业:交通信息工程及控制

姓名:

2012年11月

目录

实验一数字基带仿真实验 (1)

1.1 实验目的 (1)

1.2 实验原理 (1)

1.2.1 差错控制原理 (1)

1.2.2 跳频扩频原理 (3)

1.2.3 保密通信原理 (4)

1.3 实验内容 (4)

1.4 实验结果及数据分析 (5)

1.4.1 差错控制 (5)

1.4.2 跳频 (7)

1.4.3 加密解密 (12)

实验二通信传输的有效性与可靠性分析 (14)

2.1实验目的 (14)

2.2实验原理 (14)

2.2.1 数据传输的流量控制 (14)

2.2.2 误码和差错控制 (15)

2.2.3 信道共享技术 (15)

2.3实验内容 (16)

2.4 实验结果及数据分析 (17)

2.4.1 性能仿真 (17)

2.4.2. 速率测试 (22)

2.4.3. 文件传输 (24)

实验三无线多点组网 (27)

3.1 实验目的 (27)

3.2 实验原理 (27)

3.2.1 通信网络拓扑结构 (27)

3.2.2 路由技术 (28)

3.2.3 广播和组播 (28)

3.2.4 Ad hoc网络 (28)

3.3 实验内容 (28)

3.4 实验结果及数据分析 (29)

3.4.1 组网过程 (29)

3.4.2 单跳与多跳转接 (31)

3.4.3. 单播(Unicast) (31)

3.4.4. 路由协议 (32)

3.4.5. 广播(Broadcast)与组播(Multicast) (32)

实验四语音传输 (34)

4.1 实验目的 (34)

4.2 实验原理 (34)

4.2.1 脉冲编码调制 (34)

4.2.2 连续可变斜率增量调制 (35)

4.2.3 随机错误和突发错误 (36)

4.2.4 内部通话与数据传输的工作过程 (36)

4.2.5 蓝牙设备的身份切换 (37)

4.3 实验内容 (37)

4.4 实验结果及数据分析 (38)

4.4.1 参数相同时的波形 (38)

4.4.2 相同误码率不同频率的波形 (39)

4.4.3 用蓝牙连接的传输过程 (42)

实验一数字基带仿真实验

1.1实验目的

此实验软件主要对蓝牙(bluetooth)技术中基带信号处理方法作了仿真,包括差错控制方法、跳频扩频原理以及保密通信等。本实验要求实验人员利用本软件对通信系统特别是无线通信系统的基带信号处理方法有较深入的认识和理解,具体有以下几个目的:

1、理解基带传输中的差错控制方法、差错控制编码分类及其纠检错能力;了解差错控制编码的生成和纠、检错方法。

2、理解扩频通信(特别是跳频扩频通信)的基本概念、原理及其优缺点。

3、理解两种加密体制的异同;了解保密通信的全过程,以及密钥在保密通信中的作用。

1.2 实验原理

本实验原理主要包括差错控制原理,调频扩频原理,保密通信原理等。

1.2.1 差错控制原理

数字信号在传输过程中,由于受到干扰的影响,码元波形将变坏。接收端收到后可能发生错误判决。一般理论中,合理设计基带信号,选择调制解调方式,采用时域、频域均衡,以此来降低比特误码率,但是在实际中,许多通信系统的比特误码率并不能满足实际的需求,因此我们需要进行差错控制。常用的差错控制方法有检错重发(简称ARQ)、前向纠错(FEC)、混合纠错(HEC)。

通常差错控制编码的实现方法:在发送端将被传输的信息附上一些监督码元,这些多余的码元与信息码元之间以某种确定的规则相互关联,然后在接收端按照既定的规则校验信息码元与监督码元之间的关系,一旦传输发生错误,则信息码元与监督码元的关系就受到破坏,从而接收端可以发现错误乃至纠正错误。蓝牙基带包中采用的差错控制编码如下:a.包头附加循环冗余校验码以保证包头的完整性,该差错控制通常被称为包头检查(HEC)。HEC的生成示意图见图1。在产生HEC前,线性移位反馈寄存器(LFSR)需要初始化。为易于理解,初始化值采用设备的高8位地址(UAP)。输入数据为10位的包头信息(低位先入)。输出数据为包头信息(10位,低位先出)+HEC(8位,低位先出)。在接收

端,恢复包头信息的示意图与图1同。此时,输入数据为18位的附加HEC的包头数据。若8位寄存器的结果值全为0,则说明包头信息传输正确;反之,则说明包头信息传输错误,需重传。

图1 HEC的生成示意图

b.有效载荷校验(采用CRC,cyclic redundancy check)。添加到有效载荷中的16位CRC 循环冗余校验码,用来判断有效载荷数据传送得是否正确。该16位码通过CRC-CCITT多项式210041(8进制表示)生成,见图2的生成示意图。在生成CRC码前,采用设备的高8位地址初始化线性反馈移位寄存器。实验中规定输入数据为80位的有效载荷信息(低位先入)。输出为有效载荷(80位,低位先出)+CRC码(16位,低位先出)。在接收端,恢复有效载荷信息的示意图与图2同。此时,输入数据为96位的附加CRC的有效载荷数据。若16位寄存器的结果值全为0,则说明有效载荷信息传输正确;反之,则说明有效载荷信息传输错误,需重传。

图2 有效载荷校验码的生成示意图

c.前向纠错(Forward Error Correction,FEC)。本实验包含两类FEC码:1/3FEC和2/3FEC。

对包进行FEC纠错的目的是减少重传的次数。但在可以允许一些错误的情况下,使用FEC 会导致效率不必要的减小,因此对于不同的包,是否使用FEC是灵活的。因为包头包含了重要的链路信息,所以总是用1/3FEC进行保护。1/3 FEC仅仅是使对每个信息位重复三次,见图1.3的1/3FEC码示意图。

图3 1/3FEC码示意图

2/3 FEC码则是个缩短的(15,10) 汉明码。该码用于有效载荷数据的纠错控制。其生成示意图见下页的图1.4。2/3 FEC码能纠正1位错码,且能检出所有2位错码。

图4 2/3FEC 码示意图

1.2.2 跳频扩频原理

扩频通信技术是广泛运用在公网和专网的一种无线通信技术。扩频通信主要有直序列扩

频和跳频扩频两种,本实验重点研究跳频技术,以具体的蓝牙技术跳频方案为例介绍跳频扩

频技术。直序扩频技术请参见其它资料。所谓跳频,就是指用一定码序列进行选择的多频率

频移键控,也就是说,用扩频码序列去进行频移键控调制,使载波频率不断地跳变。由于跳

频具有抗干扰、抗截获的能力,并能作到频谱资源共享以及可以抗衰落、抗多径、抗网间干

扰和提高频谱利用率等而被业界广泛使用。

对于使用79个频道的蓝牙系统,它的工作频段为2400-2483.5 MHz ,射频信道为2402+k

MHz (k =0,1,…,78),每个信道带宽为1MHz 。蓝牙系统一共定义了5种跳频序列。为易于理解,本实验只介绍其中的3种:查询状态跳频序列,查询扫描状态跳频序列和连接状态跳频序列。跳频计算框图见图1.5。 跳频频率计算方案UAP/LAP

CLOCK 2827跳频频率

图5 跳频计算框图

查询和查询扫描状态是联系在一起的。如果一个蓝牙设备希望发现在其工作范围内有哪

些未知地址的设备,就进入查询状态,成为主设备;而一个蓝牙设备允许自己被其它设备发

现,就进入查询扫描状态来响应查询消息,成为从设备。

二者的跳频速率都由本地时钟(28比特计数器)决定。查询状态跳频序列以 3200 跳/秒

的速率进行跳变,而查询扫描跳频序列则以1.28 秒/跳的变化率进行跳变。

当查询设备的跳频频率与查询扫描设备的跳频频率发生击中时,从设备就向主设备发送

ID 包,从而完成链路建立的第一步。

当主、从蓝牙设备进入连接状态,跳频频率都由主设备的地址码和时钟决定。连接状态

的跳频速率为1600 跳/秒。

1.2.3 保密通信原理

加密系统通常由未加密的报文(即明文)、加密后的报文(即密文)、加密解密设备或算

法以及加密解密的密钥组成。对明文进行加密需要加密密钥;对密文进行解密需要解密密钥。加密密钥和解密密钥可以相同也可以不同。信息发送方用加密密钥,通过加密设备或算法,

将信息加密后发送出去;接收方在收到密文后,用解密密钥将密文解密,恢复为明文。如果

传输中有人窃取,由于没有解密密钥,他只能得到无法理解的密文,从而对信息起到保密作

用。

蓝牙加密技术属于常规密钥密码体制。所谓常规密钥密码体制,即加密密钥与解密密钥

是相同的密码体制。常规密钥密码体制的保密性取决于对密钥的保密,而算法是公开的。蓝

牙加密算法的示意图如图1.6所示。 输入线性移位反馈寄存器初始化k c

address colock

RAND 密码流生成器

数据/加密数据

加密数据/解密数据

图6 蓝牙加密算法示意图

RSA 属于公开密钥密码体制。公开密钥密码体制使用不同的加密密钥与解密密钥,是一

种由已知加密密钥推导出解密密钥在计算上是不可行的密码体制。公开密钥密码体制的示意

图如图1.7所示。

图7 公开密钥密码体制示意图

1.3 实验内容

1. 蓝牙基带包的差错控制技术

1) 包头检查(HEC),用于保证包的完整性;

2) 数据有效载荷信息的循环冗余校验;

3) 包的前向纠错(FEC)控制。

2. 蓝牙系统的跳频原理

1) 查询状态的跳频原理;

2)查询扫描状态的跳频原理;

3)连接状态的跳频原理。

3.数据流的加密与解密

1)蓝牙加密技术(常规密钥密码体制的加密与解密);

2)RSA公开密钥密码体制的加密与解密过程。

4. 编程实验

在Visual C++环境中,按照上面图42/3FEC码的生成示意图,试编写2/3FEC编/译码程序,比较程序执行结果与实验所得数据是否相符。

1.4 实验结果及数据分析

1.4.1 差错控制

1、包头校验:

(a)不加误码时的校验结果:

图3.5不加入误码时的校验结果

UAP:32; HEAD:f3 --> HEC包头数据为:110011110001000000

-------------------------------------------------------

信道传输正确或产生不可检错误码!校验结果(移位寄存器结果值)为:00000000

======================================================================= (b)加入误码时的校验结果

图3.5加入误码时的校验结果

信道传输产生误码!此时接收的包头数据为(LSB->MSB):110011110001000001

校验结果(移位寄存器结果值)为:11100101

==========================================================分析:当包头数据正确时,校验结果为00000000,信道传输正确;当我们把第8位包头数据由0改为1时,校验结果为11100101,此校验产生错误。而且包头检验可以检验出误码但无法纠错。

2、有效载荷校验:

(a)不加误码时校验结果

图3.5不加入误码时的校验结果

循环冗余校验CRC码(MSB-->LSB):0110 0011 1110 1111

附加CRC码的信息比特(MSB-->LSB):00000010 00000010 00010010 00000001 00000010 00000011 00000100 00000100 00000101 00000110

01100011 11101111

----------------------------------------------------------------------------------------------------------------

信道传输正确或产生不可检错误码!此时接收的信息比特为(MSB-->LSB):

01100011 11101111 00000010 00000010 00010010 00000001 00000010 00000011 00000100 00000100 00000101 00000110

校验结果为:0000000000000000

======================================================================= (b)加误码时

图3.5加入误码时的校验结果

信道传输产生误码!此时接收的信息比特为(MSB-->LSB):

01100011 11101111 00000010 00000010 00010010 00000001 00000010 00000011 00000100 00000100 00000101 00000001

校验结果为:0001100101000001

======================================================================= 分析:当有效载荷信息比特的第14位由6改为1后,校验结果不为0,CRC校验出错,循环冗余校验CRC码可以检验并纠正一位错码,对于两位错码只能检出但无法纠正。

3、包的前向纠错(FEC)控制实验

1)1/3 FEC实验结果

图3.10 编码结果

编码前的二进制序列为(MSB-->LSB):

0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 1 0 1 0 1 0 1 1 0

1 0 0 0 1 0 1 1 1 1 0 1 1 1 1

经1/3FEC编码后的二进制序列为(MSB-->LSB):

000000000111000000111000 000000111111000111000000 111000111000111000111111 000111000000000111000111 111111111000111111111111

(a)不加误码时的译码结果:

图3.11不加误码时的译码结果

信道传输正确!译码结果为:

0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 1 0 1 0 1 0 1 1 0

1 0 0 0 1 0 1 1 1 1 0 1 1 1 1

(b)加入一位误码后的译码结果:

图3.12加入一位误码后的译码结果

信道传输产生误码!此时接收的二进制序列为:

100000000111000000111000 000000111111000111000000 111000111000111000111111 000111000000000111000111 111111111000111111111111

译码结果为:

0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 1 0 1 0 1 0 1 1 0

1 0 0 0 1 0 1 1 1 1 0 1 1 1 1

(c)加入两位误码后的译码结果:

图3.13加入两位误码后的译码结果

信道传输产生误码!此时接收的二进制序列为:

110000000111000000111000 000000111111000111000000 111000111000111000111111 000111000000000111000111 111111111000111111111111

译码结果为:

1 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 1 0 1 0 1 0 1 1 0 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1

分析:1/3 FEC码可以检验并纠正一位错码,对于两位以上错码只能检错但无法正确纠错。2)2/3 FEC实验结果

(a) 编码结果

编码前的二进制信息序列为(MSB-->LSB):010*******

经2/3FEC编码后的二进制信息序列为(MSB-->LSB):10111 010*******

(b)加一位误码时的结果:

信道传输产生1位错码!可纠错!该码位于第15位,译码结果为:010******* 十六进制结果为:11F

======================================================================= 1.4.2 跳频

查询设备时钟:ff231,查询接入码:ee3423,跳频个数:136时查询状态下的数据及仿真图形为:

查询状态:

42 66 27 26 8 74 3 71 40 50

11 24 77 58 13 61 30 60 21 14

75 68 76 59 28 44 5 12 10 52

19 73 42 66 27 26 8 74 3 71

40 50 11 24 77 58 13 61 30 60

21 14 75 68 76 59 28 44 5 12

10 52 19 73 42 66 27 26 8 74

3 71 40 50 11 2

4 77 58 13 61

30 60 21 14 75 68 76 59 28 44

5 12 10 52 19 73 42 6

6 2

7 26

8 74 3 71 40 50 11 24 77 58

13 61 30 60 21 14 75 68 76 59

28 44 5 12 10 52 19 73 42 66

27 26 8 74 3 71

图9 查询状态(ff231,ee3423,136)

因为跳频是一种无线通信的比较好的技术,安全性,抗衰落性都比较好。跳频通信的过程即整个通信的过程在不同的频点处进行,并且频点不停的跳变。因而需要首先找到可用的符合一定规律(例如周期)的频点。通过对实验仿真结果的分析可知,查询状态即在搜寻可用的频点,而查询扫描状态即是在跟踪可用的频点,连接状态是在这些可用的频点上进行通信的过程。因为查询状态是在查找可用的频点,连接状态是通信的过程,这两个过程都可能会有无用的干扰频点的存在,而查询扫描状态是在跟踪查询到的符合要求的频点,所以从图形上也可以看出,该状态图形是最有规律性的。

1.4.3 加密解密

实验结果截图如下:

图15 加密解密实验结果图

上图左侧是常规密钥体制(蓝牙保密技术)实验结果,在密钥产生过程中:16字节随机序列为654efdde1212efda45fdea454cbde545;16字节链路密钥为bd2427ed5fde687678bcda475fd43eca;6字节设备地址为104571246545;加密密钥长度L为15;密钥为50CC3502EE69D8405EBFE5BC7A107C4A;

在产生密码流过程中:3.5字节设备时钟为e24dae3;密码流为C4F59EACDA179961743F7841D317BA7E89912D1AF3816DF2;明文为654686875EFABCED3425EDFAB70DCA676786846486486464;密文为A1B3182B84ED258C401A95BB641A7019EE17A97E75C90996。

右侧是RSA实验结果,由图可知:

公开密钥PK为{69,4399};秘密密钥SK为{309,4399};

明文为575757664328461346123484564646420467857457454545。

实验所得数据如下:

已加密的数据流:

A1B3182B84ED258C401A95BB641A7019EE17A97E75C90996

----------------------------------------------------------------

已解密的数据流:654686875EFABCED3425EDFAB70DCA676786846486486464

因为n 的二进制形式为1000100101111,所以将明文的二进制形式以长度为12 进行分组对明文的二进制形式进行分组后,各个分组的十进制形式为(高位-->低位):1397 1879 1636 808 1121 838 291 1156 1380 1606 1056 1127 2135 1111 1108 1349

对各分组分别进行加密算法-->得到各分组的密文十进制形式(高位-->低位):562 3706 69 3810 2465 494 141 2455 1805 505 3860 3927 540 845 3327 791

-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------

对各分组进行解密算法-->得到各分组解密明文的十进制形式(高位-->低位):1397 1879 1636 808 1121 838 291 1156 1380 1606 1056 1127 2135 1111 1108 1349

解密明文的十六进制形式为:575757664328461346123484564646420467857457454545

======================================================================

实验二 语音传输

2.1 实验目的

本实验软件主要对蓝牙语音编码技术和通信网络中的语音传输过程进行了介绍,做此次

试验的主要目的有:

(1)

理解蓝牙支持的三种语音编码方式的异同 (2)

随机错误和突发错误对传输的影响 (3) 理解语音传输与数据传输的异同:ACL 和SCO 链路

(4) 通过实际编程加深对实验原理的理解,提高实践能力

4.2 实验原理

4.2.1 脉冲编码调制

PCM 是把模拟信号变换为数字信号的一种调制方式,把连续输入的模拟信号变换为在时

域和振幅上都离散的量,然后将其转化为二进制码形式传输。通常PCM 分为抽样、量化、编

码三个步骤。

抽样过程为()()()F t f t s t =*,其中()s t 为抽样函数。语音信号的频率为0.3KHZ –4KHZ ,

由采样定理可知,采样频率应大于或等于模拟信号最高频率的两倍,故语音信号的采样频率

为8KHZ 。

量化就是把离散时间的模拟样值信号近似地用有限个数的数值来表示。量化过程中存在一定的量化误差,量化误差为实际信号与量化信号的差值。为了便于用数字电路实现,其量化电平数一般为2的整数次幂,有利于采用二进制编码表示。

通常,量化又分为均匀量化和非均匀量化。把输入信号的取值按等距离分割的量化为均匀量化,它用于线性PCM调制中。非均匀量化是量化间隔随信号抽样值的不同而变化的量化。采用均匀量化时,对小信号和大信号都采用相同的量化等级,因而对小信号的量化不利,引起“信号/量化噪声”比值变小,为了克服这个缺点,改善小信号时的信号量噪比,在实际应用中采用非均匀量化。非均匀量化中,广泛采用的是A律或μ律 PCM,其系统框图如下图所示:

图1 PCM通信框图

PCM编码原理

PCM编码方法为逐次比较型A律13折线二进制码,码位码型为8位的折叠二进制码,码位安排如下表所示:

表1 码位安排

D8 D7 D6 D5 D4 D3 D2 D1

极性码段落码段内码

当抽样值为正时,极性码D8=1,为负时,D8=0。段落码为抽样值所处的区间,分为8段,段内码16等分每个段落。

4.2.2 连续可变斜率增量调制

连续可变斜率增量调制,其输出比特随波形变化而变化,用一位码表示相邻抽样值的相对大小。为了减少斜率过载,使用了语音压缩技术,根据平均信号的斜率,阶梯高度可以

2。CVSD编码擅长调整。CVSD编码器的输入是每秒64K采样值的线性PCM,量化级数为16

处理丢失和被损坏的语音采样,即使比特错误率达到4%,但CVSD编码的语音还是可听的。

图2 CVSD编码示意图

图3 CVSD编码方框图

图4 CVSD解码方框图

图5 累加器工作原理框图

4.2.3 随机错误和突发错误

随机错误是指错误的出现是随机的,错误出现的位置是随机分布的,各个码元是否发生错误是互相独立的,通常不是成片地出现错误。它一般是由信道的加性随机噪声引起的。

突发错误是指错误的出现是一连串出现的。在一个突发错误持续时间内,开头和末尾的码元总是错的,中间的某些码元可能错也可能对,但错误的码元相对较多。这种情况如

移动通信中信号在某一段时间内发生衰落,造成一串差错,光盘上的一条划痕等等。

4.2.4 内部通话与数据传输的工作过程

在蓝牙主设备与从设备之间可以建立两种不同类型的物理链路,分别是无连接的非实时异步链路和面向连接的实时同步链路,即ACL(Asynchronous Connection-Less)链路和SCO(Synchronous Connection-Oriented)链路。ACL链路中,主设备和从设备可以在任意时隙传输,以数据为主。在一个主设备和一个从设备之间,只能存在一条ACL链路。对大多数ACL分组,为确保数据的完整和正确,使用分组重传的机制;SCO链路是指主设备和从设备在规定的时隙传送话音等实时性强的信息。它使用固定间隔的保留时隙,为保证实时性,SCO链路上的信息不会重传。

X.25网络中采用分组交换,在链路上采用动态复用技术传送分组,GSM 网络采用电路交换,每次通信占用一条专用的物理链路。SCO链路只在规定的时隙传送话音,即占用固定时隙,而A当没有SCO时,ACL可以使用任何时隙,一旦有SCO,ACL必须让出SCO 的固定时隙。一般情况可以认为,ACL链路是分组交换,SCO链路是电路交换。

4.2.5 蓝牙设备的身份切换

通常首先提出通信要求的设备称为主设备(Master),被动进行通信的设备称为(Slave)。在一些特殊应用场合,如LAP和PSTN网关,被动进行通信的设备要求作主设备,此时就需进行身份的切换。

图6 蓝牙设备身份切换过程

需要提及的是,协议规定建链完成后蓝牙从设备不能再被别的设备查询到也不能再去查询别的蓝牙设备,因此建链过程中的身份切换是一个很重要的功能。实现蓝牙设备身份切换的功能的蓝牙协议层可对应OSI七层模型中的数据链路层。

4.3 实验内容

1. 脉冲编码调制(线性、A律PCM)。

2. 连续可变斜律增量(CVSD)调制原理。

3. 随机错误和突发错误的观察分析。

4.蓝牙设备的ACL链路和SCO链路分析。

5. 蓝牙设备的身份切换。

6. 蓝牙设备的内部通话与数据传输的工作过程。

7. 编程实验:在Visual C++环境中,根据A律PCM和CVSD的编码原理和实验中已给出的程序,试完成其余的程序。程序执行结果与实验所得数据是否相符。

4.4 实验结果及数据分析

三种调制方式的比较

4.4.1 参数相同时的波形

图7 线性调制

图8 A律PCM调制

图9 CVSD调制

由图可已看出,在有突发错误的情况下,CVSD调制方式的性能比PCM的要好,而A律

PCM调制方式比线性调制方式要好。

通信工程专业综合实验报告..

通信工程专业综合实验 实验报告 (移动通信系统和网络协议部分) 姓名: 学号: 班级: 指导教师:

实验一:主被叫实验 一、实验目的 1、掌握移动台主叫正常接续时的信令流程。 2、了解移动台主叫时被叫号码为空号时的信令流程。 3、了解移动台主叫时被叫用户关机或处于忙状态时的信令流程。 4、了解移动台主叫时被叫用户振铃后长时间不接听的信令流程。 5、掌握移动台被叫正常接续时的信令流程。 6、掌握通话结束呼叫释放时的信令流程。 7、了解被叫用户振铃后长时间不接听时移动台被叫的信令流程。 二、实验仪器 1、移动通信实验箱一台; 2、台式计算机一台; 3、小交换机一台: 三、实验原理 处于开机空闲状态的移动台要建立与另一用户的通信,在用户看来只要输入被叫号码,再按发送键,移动台就开始启动程序直到电话拨通。实际上,移动台和网络要经许多步骤才能将呼叫建立起来。以移动台和移动台进行通信为例,就包括主叫移动台和主叫MSC建立信令链接、主叫MSC通过被叫电话号码对被叫用户进行选路,即寻找被叫所处的MSC、被叫MSC寻呼被叫MS并建立信令连接过程等三个过程。本实验主要是让学生掌握移动通信中移动台主叫时MS和MSC之间的信令过程、以及为了完成通话连接,主叫MSC和被叫MSC之间的信令过程(即七号信令中的部分消息)。 四、实验内容 1、记录正常呼叫的过程中,移动台主叫部分和被叫部分的信令流程 2、记录被叫关机时,移动台主叫部分的信令流程 3、记录被叫振铃后无应答时,移动台主叫部分和被叫部分的信令流程 4、记录被叫号码无效时,移动台主叫的信令流程 5、记录通话结束后,呼叫链路释放的信令流程 五、实验步骤 主叫实验: 1、通过串行口将实验箱和电脑连接,给实验箱上电。将与实验箱相连的电脑上的学生平台程序打开。在主界面上双击“主叫实验”图标,进入此实验界面。 2、点击“初始化”键,看到消息框中出现“初始化”完成。再点击“开机”键,从而使移动台处于开机状态。

生产系统建模与及仿真实验报告

生产系统建模与及仿真 实验报告 实验一Witness仿真软件认识 一、实验目的 1、学习、掌握Witness仿真软件的主要功能与使用方法; 2、学习生产系统的建模与仿真方法。 二、实验内容 学习、掌握Witness仿真软件的主要功能与使用方法 三、实验报告要求 1、写出实验目的: 2、写出简要实验步骤; 四、主要仪器、设备 1、计算机(满足Witness仿真软件的配置要求) 2、Witness工业物流仿真软件。 五、实验计划与安排 计划学时4学时 六、实验方法及步骤 实验目的: 1、对Witness的简单操作进行了解、熟悉,能够做到基本的操作,并能够进行简单的基础建模。 2、进一步了解Witness的建模与仿真过程。 实验步骤: Witness仿真软件是由英国lanner公司推出的功能强大的仿真软件系统。它可以用于离散事件系统的仿真,同时又可以用于连续流体(如液压、化工、水力)系统的仿真。目前已成功运用于国际数千家知名企业的解决方案项目,有机场设施布局

优化、机场物流规划、电气公司的流程改善、化学公司的供应链物流系统规划、工厂布局优化和分销物流系统规划等。 ◆Witness的安装与启动: ?安装环境:推荐P4 1.5G以上、内存512MB及以上、独立显卡64M以上显存,Windows98、Windows2000、Windows NT以及Windows XP的操作系统支持。 ?安装步骤:⑴将Witness2004系统光盘放入CD-ROM中,启动安装程序; ⑵选择语言(English);⑶选择Manufacturing或Service;⑷选择授权方式(如加密狗方式)。 ?启动:按一般程序启动方式就可启动Witness2004,启动过程中需要输入许可证号。 ◆Witness2004的用户界面: ?系统主界面:正常启动Witness系统后,进入的主界面如下图所示: 主界面中的标题栏、菜单栏、工具栏状态栏等的基本操作与一般可视化界面操作大体上一致。这里重点提示元素选择窗口、用户元素窗口以及系统布局区。 ?元素列表窗口:共有五项内容,分类显示模型中已经建立和可以定义的模型元素。Simulation中显示当前建立的模型中的所有元素列表;Designer中显示当前Designer Elements中的所有元素列表;System中显示系默认的特殊地点;Type中

通信综合实训系统实验报告

通信综合实训系统实验 (程控交换系统实验) 学生姓名 学号 专业班级通信工程班 指导老师 年月日

实验1 局内呼叫处理实验 一、实验目的 1. 通过对模拟用户的呼叫追踪,加深对程控交换机呼叫处理过程的理解; 2. 掌握程控交换机配置数据的意义及原理; 3. 根据设计要求,完成对程控交换机本局数据的配置。 二、实验内容 1.学习ZXJ10 程控交换机本局数据配置方法; 2.模拟用户动态跟踪,深入分析交换机呼叫流程; 3.按照实验指导书的步骤配置本局数据,电话号码7000000~7000023 分配到ASLC 板 卡的0~23 端口,并用7000000 拨打7000001 电话,按照实验指导书方法创建模拟用 户呼叫跟踪,观察呼叫动态迁移,理解单模块呼叫流程。 4.本局数据配置需要配置如下: 局信息配置 局容量数据配置 交换局配置 物理配置 号码管理、号码分析 三、实验仪器 程控交换机 1 套 维护终端若干 电话机若干四、实验步骤 (一)、启动后台维护控制中心 启动程控交换机网管终端计算机,点击桌面快捷方式的,启动后的维护控制中心如下图2-1(利用众友开发软件CCTS可省略该步骤): (二)、启动操作维护台 选中后台维护系统控制中心,单击右键,选中【启动操作维护平台】, 出现如下的对话框,输入操作员名【SYSTEM】, 口令为空,单击【确定】后,将会登陆操作维护系统。

(三)、告警局配置 打开“系统维护(C)”---- “告警局配置(B)”,点击“局信息配置(B)”后,弹出如下界面。 输入该局的区号532,局号 1 ,然后点击【写库】。 (四)、局容量数据配置 打开【基本数据管理】-【局容量数据配置】, 点击后弹出如下操作界面(分别进行全局容量、各模块容量进行规划设置),点击【全局规划】,出现如下的对话框. 点击【全部使用建议值】, 当前值自动填上系统默认的数值,点击【确定】后返回容量规划界面,点击【增加】, 模块号 2 ,MP内存128 ,普通外围、远端交换模块,填写完,点击【全部使用建议值】。 (五)、交换局配置 在后台维护系统打开[数据管理→基本数据管理→交换局配置]弹出如下的对话框,按照 图示,只填写【本交换局】-【交换局配置数据】,点击设置。 (六)、物理配置 在后台维护系统打开[数据管理→基本数据管理→物理配置]: 1. 新增模块 点击【新增模块】,填完模块号,选中紧凑型外围交换模块,点击确定,返回开始的对话 框。

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

通信技术综合实验报告

综合实验报告 ( 2010-- 2011年度第二学期) 名称:通信技术综合实验题目:SDH技术综合实验院系:电子与通信工程系班级: 学号: 学生姓名: 指导教师: 设计周数:两周 成绩: 日期:2011年 6 月

A C B D S1 P1S1 P1 主用 备用 AC AC 环形保护组网配置实验 一、实验的目的与要求 1、实验目的: 通过本实验了解2M 业务在环形组网方式时候的配置。 2、实验要求: 在SDH1、SDH2、SDH3配置成环网,开通SDH2到SDH3两个节点间的2M 业务,并提供环网保护机制。 1)掌握二纤单向保护环的保护机理及OptiX 设备的通道保护机理。 2)掌握环形通道保护业务配置方法。采用环形组网方式时,提供3套SDH 设备,要求配置成虚拟单向通道保护环。 3)了解SDH 的原理、命令行有比较深刻,在做实验之前应画出详细的实际网络连接图,提交实验预习报告,要设计出实验实现方案、验证方法及具体的步骤。 4)利用实验平台自行编辑命令行并运行验证实验方案,进行测试实验是否成功。 二、实验正文 1.实验原理 单向通道保护环通常由两根光纤来实现,一根光纤用于传业务信号,称S 光纤;另一根光纤传相同的信号用于保护,称P 光纤。单向通道保护环使用“首端桥接,末端倒换”结构如下图所示: 业务信号和保护信号分别由光纤S1和P1携带。例如,在节点A ,进入环以节点C 为目的地的支路信号(AC )同时馈入发送方向光纤S1和P1。其中,S1光纤按ABC 方向将业务信号送至节点C ,P1光纤按ADC 方向将同样的信号作为保护信号送至分路节点C 。接收端分路节点C 同时收到两个方向支路信号,按照分路通道信号的优劣决定选其中一路作为分路信号,即所谓末端选收。正常情况下,以S1光纤送来信号为主信号。同时,从C 点插入环以节点A 为目的地的支路信号(CA)按上述同样方法送至节点A 。

仿真实验报告经典案例概述

XXXXX 实验报告 学院(部)XX学院 课程名称生产系统仿真实验 学生姓名 学号 专业 2012年9月10日

《生产系统仿真》实验报告 年月日 学院年级、专业、班实验时间9月10日成绩 课程名称生产系统仿真 实训项目 名称 系统仿真软件的基础应 用 指导 教师 一、实验目的 通过对Flesim软件进一步的学习,建立模型,运用Flesim软件仿真该系统,观察并分析运行结果,找出所建模型的问题并进行改进,再次运行循环往复,直到找出构建该系统更为合理的模型。 二、实验内容 1、建立生产模型。 该模型生产三种产品,产品到达速率服从均值为20、方差为2的正态分布;暂存器的最大容量为25个;检测器的检测时间服从均值为30的指数分布,预制时间为10s;传送带的传送速率为1m/s,带上可容纳的最大货件数为10个。 2、运行生产模型。 3、对运行结果进行分析,提出改进方案在运行,直到找到更为合理的模型。 三、实验报告主要内容 1、根据已有数据建立生产模型。 将生产系统中所需实体按组装流程进行有序的排列,并进行连接如图1所示

图1 2、分别对发生器、暂存器、检验台和传送带进行参数设置。 (1)发生器的产品到达速率服从均值为20、方差为2的正态分布。如图2所示。 (2)暂存器的最大容量设置为25件。如图3所示。 (3)设置检验台的检测时间服从均值为30s的指数分布,预制时间为10s.如图4所示。 (4)传送带的传送速率为1m/s,最大容量为10件。如图5所示 图2 图3 图4 图5 3、对发生器及暂存器进一步设置。 (1)发生器在生成产品时设置三种不同类型的产品,通过颜色区分。如图6所示。 (2)暂存器在输出端口通过设置特定函数以使不同颜色的产品在不同的检验台检验。如图7所示。

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

通信原理实验四 实验报告 抽样定理与PAM系统实训

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:■验证□综合□设计□创新实验日期:实验成绩:实验四抽样定理与PAM系统实训 一、实验目的 1.熟通过对模拟信号抽样的实验,加深对抽样定理的理解; 2.通过PAM调制实验,使学生能加深理解脉冲幅度调制的特点; 3.通过对电路组成、波形和所测数据的分析,了解PAM调制方式的优缺点。 二、实验原理 1.取样(抽样、采样) (1)取样 取样是把时间连续的模拟信号变换为时间离散信号的过程。 (2)抽样定理 一个频带限制在(0,f H) 内的时间连续信号m(t),如果以≦1/2f H每秒的间隔对它进行等间隔抽样,则m(t)将被所得到的抽 样值完全确定。 (3)取样分类 ①理想取样、自然取样、平顶取样; ②低通取样和带通取样。 2.脉冲振幅调制电路原理(PAM) (1)脉冲幅度调制系统 系统由输入电路、高速电子开关电路、脉冲发生电路、解调滤波电路、功放输出电路等五部分组成。 图 1 脉冲振幅调制电路原理框图 (2)取样电路 取样电路是用4066模拟门电路实现。当取样脉冲为高电位时,

取出信号样值;当取样脉冲为低电位,输出电压为0。 图 2 抽样电路 图 3 低通滤波电路 三、实验步骤 1.函数信号发生器产生2KHz(2V)模拟信号送入SP301,记fs; 2.555电路模块输出抽样脉冲,送入SP304,连接SP304和SP302,记fc; 3.分别观察fc>>2fs,fc=2fs,fc<2fs各点波形; 4.连接SP204 与SP301、SP303H 与SP306、SP305 与TP207,把扬声 器J204开关置到1、2 位置,触发SW201 开关,变化SP302 的输入 时钟信号频率,听辨音乐信号的质量. 四、实验内容及现象 1.测量点波形 图 4 TP301 模拟信号输入 图 5 TP302 抽样时钟波形(555稍有失真) fc=38.8kHz ①fc>>2fs,使fs=5KHz: 图 6 TP303 抽样信号输出1 图7 TP304 模拟信号还原输出1 ②fc=2fs,使fs=20KHz: 图8 TP303 抽样信号输出2 图9 TP304 模拟信号还原输出2 ③fc<2fs,使fs=25KHz: 图10 TP303 抽样信号输出3 图11 TP304 模拟信号还原输出3 2.电路Multisim仿真 图12 PAM调制解调仿真电路 图13 模拟信号输入 图14 抽样脉冲波形 图15 PAM信号 图16 低通滤波器特性 图17 还原波形 更多学习资料请见我的个人主页:

物流系统flexsim仿真实验报告

广东外语外贸大学 物流系统仿真实验 通达企业立体仓库实验报告 指导教师:翟晓燕教授专业:物流管理1101 姓名:李春立 20110402088 吴可为 201104020117 陈诗涵 201104020119 丘汇峰 201104020115

目录 一、企业简介 (2) 二、通达企业立体仓库模型仿真 (2) 1................................ 模型描述:2 2................................ 模型数据:3 3.............................. 模型实体设计4 4.................................. 概念模型4 三、仿真模型内容——Flexsim模型 (4) 1.................................. 建模步骤4 2.............................. 定义对象参数5 四、模型运行状态及结果分析 (7) 1.................................. 模型运行7 2................................ 结果分析:7 五、报告收获 (9) 一、企业简介 二、通达企业立体仓库模型仿真 1. 模型描述: 仓储的整个模型分为入库和出库两部分,按作业性质将整个模型划分为暂存区、分拣区、

储存区以及发货区。 入库部分的操作流程是: ①.(1)四种产品A,B,C,D首先到达暂存区,然后被运输到分类输 送机上,根据设定的分拣系统将A,B,C,D分拣到1,2,3,4,端口; ②.在1,2,3,4,端口都有各自的分拣道到达处理器,处理器检验合格 的产品被放在暂存区,不合格的产品则直接吸收掉;每个操作工则将暂存 区的那些合格产品搬运到货架上;其中,A,C产品将被送到同一货架上, 而B,D则被送往另一货架; ③.再由两辆叉车从这两个货架上将A/B,C/D运输到两个暂存区上; 此时,在另一传送带上送来包装材料,当产品和包装材料都到达时,就可 以在合成器上进行对产品进行包装。 出库部分的操作流程是:包装完成后的产品将等待被发货。 2. 模型数据: ①.四种货物A,B,C,D各自独立到达高层的传送带入口端: A: normal(400,50) B: normal(400,50) C: uniform(500,100) D: uniform(500,100) ②.四种不同的货物沿一条传送带,根据品种的不同由分拣装置将其推 入到四个不同的分拣道口,经各自的分拣道到达操作台。 ③.每检验一件货物占用时间为60,20s。 ④.每种货物都可能有不合格产品。检验合格的产品放入检验器旁的暂 存区;不合格的吸收器直接吸收;A的合格率为95%,B为96%,C的合格 率为97%,D的合格率为98%。 ⑤.每个检验操作台需操作工一名,货物经检验合格后,将货物送至货 架。 ⑥.传送带叉车的传送速度采用默认速度(包装物生成时间为返回60 的常值),储存货物的容器容积各为1000单位,暂存区17,18,21容量为 10;

数字通信系统设计实验报告

实验1:用 Verilog HDL 程序实现乘法器 1实验要求: (1) 编写乘法器的 Veirlog HDL 程序. (2) 编写配套的测试基准. (3) 通过 QuartusII 编译下载到目标 FPGA器件中进行验证 (4) 注意乘法逻辑电路的设计. 2 试验程序: Module multiplier(input rst,input clk,input [3:0]multiplicand, input [3:0]multiplier,input start_sig,output done_sig,output [7:0]result); reg [3:0]i; reg [7:0]r_result; reg r_done_sig; reg [7:0]intermediate; always @ ( posedge clk or negedge rst ) if( !rst ) begin i<=4'b0; r_result<=8'b0; end else if(start_sig) begin case(i) 0: begin intermediate<={4'b0,multiplicand}; r_result<=8'b0; i<=i+1; end 1,2,3,4: begin if(multiplier[i-1]) begin r_result<=r_result+intermediate; end intermediate<={intermediate[6:0],1'b0}; i<=i+1; end 5: begin r_done_sig<=1'b1;

i<=i+1; end 6: begin r_done_sig<=1'b0; i<=1'b0; end endcase end assign result=r_done_sig?r_result:8'bz; assign done_sig=r_done_sig; endmodule3 测试基准: `timescale 1 ps/ 1 ps module multiplier_simulation(); reg clk; reg rst; reg [3:0]multiplicand; reg [3:0]multiplier; reg start_sig; wire done_sig; wire [7:0]result; /***********************************/ initial begin rst = 0; #10; rst = 1; clk = 1; forever #10 clk = ~clk; end /***********************************/ multiplier U1 ( .clk(clk), .rst(rst), .multiplicand(multiplicand), .multiplier(multiplier), .result(result), .done_sig(done_sig), .start_sig(start_sig) ); reg [3:0]i; always @ ( posedge clk or negedge rst ) if( !rst )

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

通信原理综合实验数字频带传输系统的仿真报告解析

课程名称数字通信综合实验 题目数字频带传输系统的仿真 专业电子信息工程 班级 学号 姓名 指导教师 地点 时间:2015年7月04日至2015年7月08日

摘要 此次课程设计主要运用MATLAB集成环境下的Simulink仿真平台对2ASK频带传输系统仿真,并把运行仿真结果输入到显示器,根据显示器结果分析设计的系统性能。在设计中,目的主要是仿真通信系统中频带传输技术中的ASK调制。产生一段随机的二进制非归零码的频带信号,对其进行ASK调制后再加入加性高斯白噪声传输,在接收端对其进行ASK解调以恢复原信号,观察还原是否成功。通过Simulink的仿真功能摸拟到了实际中的2ASK 调制与解调情况。 关键词:Simulink ;高斯白噪声;调制与解调

第1章前言 (4) 1.设计平台 (4) 2. Simulink (5) 第2章通信技术的历史和发展 (7) 2.1通信的概念 (7) 2.2 通信的发展史简介 (9) 2.3通信技术的发展现状和趋势 (9) 第3章2ASK的基本原理 (10) 3.1 2ASK定义 (10) 3.2 2ASK的调制 (11) 3.3 2ASK的解调 (11) 第4章2ASK频带系统设计方案 (12) 4.1仿真系统的调制与解调过程 (12) 4.2 SIMULINK下2ASK系统的设计 (12) 第5章仿真结果分析 (17) 第6章出现的问题及解决方法 (23) 第7章总结 (24) 参考文献 (24)

第1章前言 在现代数字通信系统中,频带传输系统的应用最为突出。将原始的数字基带信号,经过频谱搬移,变换为适合在频带上传输的频带信号,传输这个信号的系统就称为频带传输系统。在频带传输系统中,根据数字信号对载波不同参数的控制,形成不同的频带调制方法。幅移键控法(ASK)的载波幅度是随着调制信号而变化的,其最简单的形式是,载波数字形式的调制信号在控制下通断,此时又可称作开关键控法(OOK)。本设计中选择正弦波作为载波,用一个二进制基带信号对载波信号的振幅进行调制,载波数字信号1或0的控制下通或断,在信号为1的状态载波接通,此时传输信道上有载波出现;在信号为0的状态下,载波被关断,此时传输信道上无载波传送,调制后的信号的频带宽度为二进制基带信号宽度的两倍,此制称为二进制振幅键控信号。 数字调制就是对基带数据信号进行变换,实现信号频谱的“搬移”数据的发送端进行搬移的过程称作“调制”,在称作调制器的设备中完成。在数据的接收端,有一个相反的变换被称作“解调”的过程,解调过程在称作解调器的设备中完成。经过调制的后的信号在一个很高的频段上占有一定的带宽,由于所处频段很高,使得其最高频率和最低频率的相对偏差变小(最高频率和最低频率的比值略大于1),这样的信号称为频带信号或射频信号,相应的传输系统称作频带传输系统。 数字频带传输系统或带通信号是现代通信系统的非常重要部分,通过调制来时信号与信道特新相匹配从而达到效果、传输为目的。数字频带传输系统既可用于低速数据信道,而可以用于中、高速数字信道,其应用很广泛,因此研究数字频带传输系统具有非常重要的义。理解和掌握二进制数字调制通信系统的各个关键环节,包括调制、解调、滤波、传输、噪声对通信质量的影响等。在数字信号处理实验课的基础上更加深入的掌握数字滤波器的设计原理及实现方法。是学习者对系统各关键点的信号波形及频谱有深刻的认识。设计或分析一个简单的通信系统,可以进一步理解通信系统的基本组成、模拟通信和数字通信的基础理论、通信系统发射端信号的形成及接收端信号解调的原理、通信系统信号传输质量的检测等方面的相关知识。 1.设计平台 MATLAB是美国MathWorks公司生产的一个为科学和工程计算专门设计的交互式大型

物流系统flexsim仿真实验报告

物流系统f l e x s i m仿真 实验报告 文件排版存档编号:[UYTR-OUPT28-KBNTL98-UYNN208]

广东外语外贸大学 物流系统仿真实验 通达企业立体仓库实验报告 指导教师:翟晓燕教授专业:物流管理1101

目录

一、企业简介 二、通达企业立体仓库模型仿真 1.模型描述: 仓储的整个模型分为入库和出库两部分,按作业性质将整个模型划分为暂存区、分拣区、储存区以及发货区。 入库部分的操作流程是: ①.(1)四种产品A,B,C,D首先到达暂存区,然后被运 输到分类输送机上,根据设定的分拣系统将A,B,C,D分拣到 1,2,3,4,端口; ②.在1,2,3,4,端口都有各自的分拣道到达处理器,处理 器检验合格的产品被放在暂存区,不合格的产品则直接吸收掉; 每个操作工则将暂存区的那些合格产品搬运到货架上;其中,A, C产品将被送到同一货架上,而B,D则被送往另一货架; ③.再由两辆叉车从这两个货架上将A/B,C/D运输到两个 暂存区上;此时,在另一传送带上送来包装材料,当产品和包装 材料都到达时,就可以在合成器上进行对产品进行包装。 出库部分的操作流程是:包装完成后的产品将等待被发货。 2.模型数据: ①.四种货物A,B,C,D各自独立到达高层的传送带入口端:

A:normal(400,50)B:normal(400,50)C:uniform(500,100)D:uniform(500,100) ②.四种不同的货物沿一条传送带,根据品种的不同由分拣 装置将其推入到四个不同的分拣道口,经各自的分拣道到达操作 台。 ③.每检验一件货物占用时间为60,20s。 ④.每种货物都可能有不合格产品。检验合格的产品放入检 验器旁的暂存区;不合格的吸收器直接吸收;A的合格率为95%, B为96%,C的合格率为97%,D的合格率为98%。 ⑤.每个检验操作台需操作工一名,货物经检验合格后,将 货物送至货架。 ⑥.传送带叉车的传送速度采用默认速度(包装物生成时间 为返回60的常值),储存货物的容器容积各为1000单位,暂存 区17,18,21容量为10; ⑦.分拣后A、C存放在同一货架,B、D同一货架,之后由 叉车送往合成器。合成器比例A/C : B/D : 包装物 = 1: 1 :4 整个流程图如下: 3.模型实体设计

杭电通信系统课程设计报告实验报告

通信系统课程设计实验报告 XX:田昕煜 学号:13081405 班级:通信四班 班级号:13083414 基于FSK调制的PC机通信电路设计

一、目的、容与要求 目的: 掌握用FSK调制和解调实现数据通信的方法,掌握FSK调制和解调电路中相关模块的设计方法。初步体验从事通信产品研发的过程. 课程设计任务:设计并制作能实现全双工FSK调制解调器电路,掌握用Orcad Pspice、Protel99se进行系统设计及电路仿真。 要求:合理设计各个电路,尽量使仿真时的频率响应和其他参数达到设计要求。尽量选择符合标称值的元器件构成电路,正确完成电路调试。 二、总体方案设计 信号调制过程如下: 调制数据由信号发生器产生(电平为TTL,波特率不超过9600Baud),送入电平/幅度调整电路完成电平的变换,再经过锁相环(CD4046),产生两个频率信号分别为30kHz和40kHz(发“1”时产生30kHz方波,发“0”时产生40kHz方波),再经过低通滤波器2,变成平滑的正弦波,最后通过线圈实现单端到差分信号的转换。

信号的解调过程如下: 首先经过带通滤波器1,滤除带外噪声,实现信号的提取。在本设计中FSK 信号的解调方式是过零检测法。所以还要经过比较器使正弦信号变成方波,再经过微分、整流电路和低通滤波器1实现信号的解调,最后经过比较器使解调信号成为TTL电平。在示波器上会看到接收数据和发送数据是一致的。 各主要电路模块作用: 电平/幅度调整电路:完成TTL电平到VCO控制电压的调整; VCO电路:在控制电压作用下,产生30KHz和40KHz方波; 低通2:把30KHz、40KHz方波滤成正弦波; 线圈:完成单端信号和差分信号的相互转换; 带通1:对带外信号抑制,完成带信号的提取; 限放电路:正弦波整形成方波,同时保留了过零点的信息; 微分、整流、脉冲形成电路:完成信号过零点的提取; 低通1:提取基带信号,实现初步解调; 比较器:把初步解调后的信号转换成TTL电平 三、单元电路设计原理与仿真分析 (1)带通1(4阶带通)-- 接收滤波器(对带外信号抑制,完成带信号的提取) 要求通带:26KHz—46KHz,通带波动3dB; 阻带截止频率:fc=75KHz时,要求衰减大于10dB。经分析,二级四阶巴特沃斯带通滤波器来提取信号。 具体数值和电路见图1仿真结果见图2。

生产系统仿真实验报告

实验一:工艺原则布置 实验项目名称:工艺原则布置( ) 实验项目性质:综合性实验 所属课程名称:《设施规划与物流分析》 实验计划学时:学时 一、实验目的 通过本实验,掌握四种布置设计方法中最常用的工艺原则布置。 二、实验内容和要求 对于常用的工艺原则布置设计,最常用的设计方法为新建法()和改建法(),最常用的工具是从至表()。 本试验要求学生在熟练掌握工艺原则布置方法的基础上,使用物流仿真软件实现布置设计。 要求: . 认真学习教材第章第节 . 复习运筹学的二次分配问题 . 预先查阅遗传算法相关基本概念 三、实验主要仪器设备和材料 电脑,软件 四、实验方法、步骤及结果测试 见附录一 五、实验报告要求 实验报告要求:任选思考题中的一题 . 教材方法求解,确定你的最佳布置并计算物流量大小。 . 进行建模,可以仿照附录的步骤进行,相关的图、表、文字说明全过程体现在试验报告内。 . 请考虑并回答问题:如果只知道搬运量的从至表和作业单位设施的面积,以及总面积大小,具体位置不能确定,这时我们一般采用的是方法来进行布置设计,如何在实现?不需要你在里面建模,但是希望你考虑实现的方法和一些设想,请把这些思考内容体现在你的实验报告最后,这是体现综合性和设计性的关键点,也是决定你的成绩的评判标准之一。 这里我们统一:假设有台设备要布置到个工作地 .作业单位到作业单位之间如果有物料交换,则二者间的搬运量为。(,…) (,…) .工作地到工作地之间搬运距离为。(,…) (,…) .总的物流量:,而工艺原则布置优劣评判的其中一个标准是。 问题回答: 、通过作业单位搬运量从至表和作业单位距离从至表运行程序得出物流相关表。

通信系统仿真实验报告(DOC)

通信系统实验报告——基于SystemView的仿真实验 班级: 学号: 姓名: 时间:

目录 实验一、模拟调制系统设计分析 -------------------------3 一、实验内容-------------------------------------------3 二、实验要求-------------------------------------------3 三、实验原理-------------------------------------------3 四、实验步骤与结果-------------------------------------4 五、实验心得------------------------------------------10 实验二、模拟信号的数字传输系统设计分析------------11 一、实验内容------------------------------------------11 二、实验要求------------------------------------------11 三、实验原理------------------------------------------11 四、实验步骤与结果------------------------------------12 五、实验心得------------------------------------------16 实验三、数字载波通信系统设计分析------------------17 一、实验内容------------------------------------------17 二、实验要求------------------------------------------17 三、实验原理------------------------------------------17 四、实验步骤与结果------------------------------------18 五、实验心得------------------------------------------27

数字系统设计软件实验报告

实验一QuartusⅡ9.1软件的使用 一、实验目的: 1、通过实现书上的例子,掌握QUARTUSII9.1软件的使用; 2、编程实现3-8译码电路以掌握VHDL组合逻辑的设计以及QUARTUSII9.1软件的使用。 二、实验流程: 1、仔细阅读书上的操作指南,学会在QuartusⅡ9.1中创建新的工程,创建过程如下所示: 1)、建立新设计项目: ①启动QuartusⅡ9.1软件,在软件的管理器窗口选File下拉菜单,即File→New Project Wizard,则出现新建工程向导窗口。如下所示: ②点击Next按钮,将弹出新建工程设置窗口,如下图所示。在新建工程设置窗口中设置好工程的存放路径、工程名称等。

③点击Next进入添加文件窗口,如下图。由于尚未创建文件,跳过该步骤。 ④点击Next按钮,进入选择目标芯片窗口。在这里我们选择Cyclone系列的EP1C6Q240C8,如下图:

⑤点击Next按钮,进入EDA工具设置窗口,通常选择默认的“None”,表示选择QuartusⅡ自带的仿真器和综合器。如下图: ⑥点击Next按钮,弹出New Project Wizard概要对话框,在这个窗口中列出了所有前面设置的结果。若有错误则点击Back回去修改,否则点击Finish结束,即完成新工程的设定工作。如下图:

2)、文本设计输入: ①在QuartusⅡ主界面菜单栏中选择File下拉菜单中的New,弹出新建设计文件窗口,选择VHDL File项,点击OK按钮即可打开VHDL文本编辑窗口,其默认文件名为“Vhdl.vhd”。 ②出现文本编辑窗口后,我们可以直接在空白界面中键入所设计的VHDL文本。这时我们将书本中的程序输入到文本编辑环境中去。程序如下: library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity count10 is port(clk,load,en:in std_logic; data_in:in std_logic_vector(3 downto 0); seg:out std_logic_vector(6 downto 0)); end count10; architecture beha of count10 is signal qout:std_logic_vector(3 downto 0); signal q_temp:std_logic_vector(3 downto 0); begin process(clk,load) begin

基于simulink的综合通信实验报告

湖南科技大学 信息与电气工程学院《课程设计报告》 题目:综合通信系统课程设计 专业:*** 班级:*** 姓名:*** 学号:***

任务书 题目综合通信系统课程设计 时间安排第七学期的第19-20两周 目的: 1、掌握通信系统的基本构成; 2、掌握通信系统工作原理; 3、了解通信系统设计的基本过程;掌握基本理论和解决实际问题的方法,锻炼学生综合分析问题解决问题的能力。 4、为学生的毕业设计和以后的工作打下良好的基础。 要求: 课程设计前,学生必须知道课程设计的目的以及教师所规定的任务及其具体要求,有针对性地进行预习和设计。课程设计时,学生必须遵守实验室纪律,严格考勤登记,服从指导老师和实验室工作人员的安排。课程设计结束后,学生必须向所指导教师提交课程设计报告,且课程设计报告要求字迹清楚,版面整洁,报告内容包括调试过程和结果以及心得体会。 总体方案实现:本课程设计主要是利用simulink、通信系统工具箱以及信号处理工具箱来完成通信系统的设计与仿真。Simulink是MATLAB提供的实现动态系统建模和仿真的一个软件包,许多工具箱里的模块都被封装成了Simulink模块。MATLAB中的通信系统工具箱是一个运算函数和仿真模块的集合体,可以用来进行通信领域的研究、开发、系统设计和仿真。使用MATLAB软件,设计通信系统,配置各个通信组成部分的参数,通过仿真可以得到仿真波形,很明显的可以观察到参数不同仿真结果不尽相同。 指导教师评语:

一、设计目的和任务 综合通信系统课程设计是电子信息工程专业和通信工程专业教学的一个实践性与综合性环节,是电子信息工程专业及通信工程专业各门课程的综合以及通信、信息、信号处理等基本理论与实践相结合的部分。主要是为了让学生利用所学的专业理论知识以及实践环节所积累的经验,结合实际的通信系统的各个环节,设计出一个完整综合通信系统,并进一步加深学生对通信系统的深入理解,培养学生设计通信系统的能力,为毕业设计和以后的工作打下良好的基础。 1、设计目的: 1、掌握通信系统的基本构成; 2、掌握通信系统工作原理; 3、了解通信系统设计的基本过程;掌握基本理论和解决实际问题的方法,锻炼学生综合分析问题解决问题的能力。 5、为学生的毕业设计和以后的工作打下良好的基础。 2、设计任务: 1、设计通信系统的各个环节; 2、将上述设计好的各个环节设计成一个综合通信系统。 二、设计工具介绍 本课程设计主要是利用simulink、通信系统工具箱以及信号处理工具箱来完成通信系统的设计与仿真。 1、Simulink Simulink是MATLAB提供的实现动态系统建模和仿真的一个软件包。它让用户把精力从编程转向模型的构造,经常与其它工具箱一起使用,实际上,许多工具箱里的模块都被封装成了Simulink模块。 2、通信系统工具箱及其功能 2.1 通信系统工具箱概述 MATLAB中的通信系统工具箱是一个运算函数和仿真模块的集合体,可以用来进行通信领域的研究、开发、系统设计和仿真。通信系统工具箱中包含的模块

相关文档
相关文档 最新文档