文档库 最新最全的文档下载
当前位置:文档库 › 第八章 数字电路基础

第八章 数字电路基础

第八章 数字电路基础
第八章 数字电路基础

第八章 数字电路基础

1、数字电路中使用的数制是( )。

A 、二进制

B 、八进制

C 、十进制

D 、十六进制

2、在正逻辑的约定下,“1”表示( )电平,“0”表示( )电平。

3、(36.625)10=( )2,

4、(01111111)2=( )10,(0.111)2=( )10

4、所谓逻辑是指( )。

5、逻辑电路是用( )表示逻辑的条件,用( )表示逻辑结果。

6、基本的逻辑运算包括( )。

7、基本逻辑门电路包括( )。 8、分别画出三个基本逻辑门的逻辑符号。 9、右图的逻辑表达式为( );若A=1,B=0,则Y=( ); 仅当A= ,B= ,Y=0。

10、三极管的工作区域主要放大区、截止区、饱和导通区,当用于模拟信号的处理时,主要工作在( )区,当用于数字电路时,主要工作在( )区域。

11、TTL 集成逻辑门电路若输入引脚悬空,该引脚对应的逻辑值为( )。

13、以下触发器属于非钟控触发器的是

A 基本RS 触发器

B JK 触发器

C

D 触发器 D T 触发器

14、以下触发器功能最多的是

A RS 触发器

B JK 触发器

C

D 触发器 D T 触发器

15、RS 触发器的功能有( );

16、JK 触发器的功能有( );

17、D 触发器的功能有( );

18、T 触发器的功能有( );

19、将JK 触发器的JK 两引脚接于一起,引出异端,可构成

A 基本RS 触发器

B 同步RS 触发器

C

D 触发器 D T 触发器

21、下列逻辑运算正确的是( )

A 、1+1=2

B 、1+0=0

C 、1·0=1

D 、1+1=1

22、以下电路中属于时序逻辑电路的是( )

A 编码器

B 译码器

C 数据选择器

D 寄存器

23、以下电路具有记忆功能的电路是

A 编码器

B 译码器

C 数据选择器

D 寄存器

24、以下器件属于记数器构成单元的是( )

A 与门

B 非门

C 或门

D 触发器

25、以下触发器功能最多的是( )

A JK 触发器

B D 触发器

C T 触发器 DRS 触发器

26、数字电路传输的信号波形基本都是( )波。

A 矩形波

B 正弦波

C 锯齿波

D 三角波

A B Y

27、四个输入的译码器,其输出端最多为( ))。

A、4个

B、8个

C、10个

D、16个

28、右图所示逻辑图表示的组合逻辑电路的名称是()若

输入端A i、B i、C i-1值分别为0、1、1,则输出端=(),=

()。

29、4的BCD码为(),9的BCD码为()。

30、能实现对8个对象编码的二进制编码器的代码位为()位。

31、8421BCD七段显示译码器,发光二极管为共阴极高电平驱动,a=b=c=1,d=e=f=g=0,显示数字为(),输入的BCD码为()。

32、8421 BCD七段显示译码器,发光二极管为共阴极高电平驱动,a=b=c=1,d=e=f=g=0,显示数字为(),输入的BCD码为()。

33、保存4位二进制数的寄存器,要()个触发器。

34、4位二进制计数器需要()个触发器连接构成。

35、数码寄存器只具有寄存数码和清除原有数码的功能,无移位功能。

37、移位寄存器根据移位方向可分为()。

38、对于四位左移寄存器,并行寄存数据后,需要()个移位脉冲,将寄存数据全部移出。

数字电子技术基础第三版第一章答案

第一章数字逻辑基础 第一节重点与难点 一、重点: 1.数制 2.编码 (1) 二—十进制码(BCD码) 在这种编码中,用四位二进制数表示十进制数中的0~9十个数码。常用的编码有8421BCD码、5421BCD码和余3码。 8421BCD码是由四位二进制数0000到1111十六种组合中前十种组合,即0000~1001来代表十进制数0~9十个数码,每位二进制码具有固定的权值8、4、2、1,称有权码。 余3码是由8421BCD码加3(0011)得来,是一种无权码。 (2)格雷码 格雷码是一种常见的无权码。这种码的特点是相邻的两个码组之间仅有一位不同,因而其可靠性较高,广泛应用于计数和数字系统的输入、输出等场合。 3.逻辑代数基础 (1)逻辑代数的基本公式与基本规则 逻辑代数的基本公式反映了二值逻辑的基本思想,是逻辑运算的重要工具,也是学习数字电路的必备基础。 逻辑代数有三个基本规则,利用代入规则、反演规则和对偶规则使逻辑函数的公式数目倍增。 (2)逻辑问题的描述 逻辑问题的描述可用真值表、函数式、逻辑图、卡诺图和时序图,它们各具特点又相互关联,可按需选用。 (3)图形法化简逻辑函数 图形法比较适合于具有三、四变量的逻辑函数的简化。 二、难点: 1.给定逻辑函数,将逻辑函数化为最简 用代数法化简逻辑函数,要求熟练掌握逻辑代数的基本公式和规则,熟练运用四个基本方法—并项法、消项法、消元法及配项法对逻辑函数进行化简。 用图形法化简逻辑函数时,一定要注意卡诺图的循环邻接的特点,画包围圈时应把每个包围圈尽可能画大。 2.卡诺图的灵活应用 卡诺图除用于简化函数外,还可以用来检验化简结果是否最简、判断函数间的关系、求函数的反函数和逻辑运算等。 3.电路的设计 在工程实际中,往往给出逻辑命题,如何正确分析命题,设计出逻辑电路呢?通常的步骤如下:

《数字电子技术基础》复习指导(第十章)

第十章 数-模和模-数转换 一、本章知识点 权电阻、倒T 形D/A 转换器的原理 双极型D/A 转换应用电路分析。(题9.3) D/A 转换器V O 的计算,考虑线性误差后V O 的实际范围 A/D 转换的步骤; A/D 转换的分辨率(基本概念) 采样定理的内容和物理含义 并联比较型、计数型、逐次比较型、双积分型A/D 转换器转换速度的比较 计数型、逐次比较型A/D 转换器转换时间的计算 二、例题 (一)概念题 1.对于n 位的权电阻网络D/A 转换器,当求和运算放大器的反馈电阻为 2R 时,输出电压的 公式为V 0= 。 2.对于倒T 型电阻网络D/A 转换器,其电阻网络中只有 两种阻值的电阻。 3.一个4位D/A 转换器,满量程电压为10V ,其线性误差为± 2 1LSB ,当输入为1100时, 其输出电压实际值的范围为 。 4.一个8位D/A 转换器,V REF =10V ,其线性误差为±1LSB ,当输入为10001000时,其输出电压实际值的范围为 ;其中(10001000)B =( )10 。 5.设有一被测量温度的变化范围为10 0C ~800 0C ,要求分辨率为1 0C ,则应选用的A/D 转换器的分辨率至少为 位。 6.某8位输出的逐次比较型 A/D 转换器,若它使用的时钟频率为100KHz ,则该A/D 转换 器完成一次A/D 转换所需要的时间为 。 7.A/D 转换的过程可分为取样、保持、 及编码四步。 8.采样定理f s ≥2f imax 中的f imax 是指 。 9.计数式A/D 转换器中,若输出的数字信号为12位,时钟信号频率为4MHz ,则完成一次转换的最长时间是 ms ?如果希望最大转换时间小于100us ,那么时钟信号的频率应选用 HZ ? 10.一个8位D/A 转换器,若最小分辨电压VLSB=20mV ,当输入代码为10010111时,输出电压为 V ?该转换器的分辨率是

数字电子技术基本第三版第三章答案解析

第三章组合逻辑电路 第一节重点与难点 一、重点: 1.组合电路的基本概念 组合电路的信号特点、电路结构特点以及逻辑功能特点。 2.组合电路的分析与设计 组合电路分析是根据已知逻辑图说明电路实现的逻辑功能。 组合电路设计是根据给定设计要求及选用的器件进行设计,画出逻辑图。如果选用小规模集成电路SSI,设计方法比较规范且容易理解,用SSI设计是读者应掌握的最基本设计方法。由于设计电路由门电路组成,所以使用门的数量较多,集成度低。 若用中规模集成电路MSI进行设计,没有固定的规则,方法较灵活。 无论是用SSI或MSI设计电路,关键是将实际的设计要求转换为一个逻辑问题,即将文字描述的要求变成一个逻辑函数表达式。 3.常用中规模集成电路的应用 常用中规模集成电路有加法器、比较器、编码器、译码器、数据选择器和数据分配器等,重要的是理解外部引脚功能,能在电路设计时灵活应用。 4.竞争冒险现象 竞争冒险现象的产生原因、判断是否存在竞争冒险现象以及如何消除。 二、难点:

无论是用SSI还是用MSI设计电路,首先碰到的是如何将设计要求转换为逻辑问题,得到明确的真值表,这一步既是重点又是难点。总结解决这一难点的方法如下: (1)分析设计问题的因果关系,分别确定输入变量、输出变量的个数及其名称。 (2)定义逻辑变量0、1信号的含义。无论输入变量、输出变量均有两个状态0、1,这两个状态代表的含义由设计者自己定义。 (3)再根据设计问题的因果关系以及变量定义,列出真值表。 2.常用组合电路模块的灵活应用 同样的设计要求,用MSI设计完成后,所得的逻辑电路不仅与所选芯片有关,而且还与设计者对芯片的理解及灵活应用能力有关。读者可在下面的例题和习题中体会。 3.硬件描述语言VHDL的应用 VHDL的应用非常灵活,同一个电路问题可以有不同的描述方法,初学者可以先仔细阅读已有的程序实例,再自行设计。 三、考核题型与考核重点 1.概念与简答 题型1为填空、判断和选择; 题型2为叙述基本概念与特点。 建议分配的分数为3~6分。 2.综合分析与设计 题型1为根据已知电路分析逻辑功能; 题型2为根据给定的逻辑问题,设计出满足要求的逻辑电路。 建议分配的分数为6~12分。 第二节思考题题解

通用数字电路与数字电子技术课后答案第七章.doc

第七章 时序逻辑电路 1.电路如图P7.1所示,列出状态转换表,画出状态转换图和波形图,分析电路功能。 图P7.1 解: (1)写出各级的W.Z 。 D 1=21Q Q ,D 2=Q 1,Z=Q 2CP ( 2 ) 列分析表 ( 3 ) 状态转换表 (4 图7.A1 本电路是同步模3计数器。 2. 已知电路状态转换表如表P7.1所示,输入信号波形如图P7.2所示。若电路的初始状态为Q2Q1 = 00,试画出Q2Q1的波形图(设触发器的下降沿触发)。 Q 2 Q 1 D 2 D 1 Q 2n+1 Q 1n+1 Z 0 0 0 1 0 1 0 0 1 1 0 1 0 0 1 0 0 0 0 0 1 1 1 1 0 1 0 1 Q 2 Q 1 Q 2n+1 Q 1n+1 Z 0 0 0 1 0 0 1 1 0 0 1 0 0 0 1 1 1 1 0 1 CP 表P7.1 X Q 2 Q 1 0 1 00 01 10 11 01/1 10/0 10/0 01/1 11/1 10/0 11/0 00/1 CP X Q 1 0 Q 2 0 Z CP Q 1 0 Q 1 0 Z ( b ) Q 2 Q 1 /Z ( a ) 01/0 11/1 10/1 00/0

解:由状态转换表作出波形图 3. 试分析图P7.3所示电路,作出状态转换表及状态转换图,并作出输入信号为0110111110相应的输出波形(设起始状态Q 2Q 1 = 00 )。 ( a ) ( b ) 解:(1)写W.Z 列分析表 J 1 = XQ 2 J 2 = X Z =12Q Q X K 1 = X K 2 =1Q X ( 2 ) 作出状态转换表及状态转换图 X Q 2 Q 1 0 1 00 01 00/1 00/1 10/1 11/1 X Q 2 Q 1 J 2 K 2 J 1 K 1 Q 2n+1 Q 1n+1 Z 0 0 0 0 1 0 1 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 0 0 1 0 1 0 0 1 0 1 1 0 1 0 1 0 0 1 1 0 0 1 1 0 0 1 0 1 1 0 1 1 0 0 0 1 1 1 1 1 0 1 1 1 0 0 1 1 1 1 1 1 0 1 0 1 1 0 CP X 图P7.3 CP X Q 1 0 Q 1 0 Z 图P7.A2 0 /1 0 /1 0 /1 1/1 1/1 0/1 01 11 00

数字电路实验报告第七章触发器

数字电路与逻辑设计基础实验 任课教师:陈志坚 实验名称:触发器(实验七) 云南大学信息学院 一、实验目的 ⑴学习触发器逻辑功能的测试方法 ⑵进一步熟悉RS触发器、集成D触发器和JK触发器的逻辑功能及其触发方式二、实验器材 ⑴直流稳压电源、数字逻辑实验箱 ⑵74LS00、74LS74、74LS76 三、实验内容和仿真

1.基本RS触发器 基本RS触发器用与非门74LS00构成,按图7-1接好线。在输入端加上不同的信号,通过发光二极管观察电路输出端的状态。把结果填入自制的表中。 图7-1 基本RS触发器图7-2 D触发器的预置和清零功能 用带预置和清除的双D型触发器74LS74来测试上升沿触发集成D型触发器的逻辑功能。先按图7-2接线,在时钟脉冲的不同电平状态,改变预置端PRE 和清除端CLR的信号,通过发光二极管观察触发器的输出状态。把结果填入自制的表中。然后,按图7-3接线,测试D触发器的逻辑功能。 在D触发器的逻辑功能测试中,先将数据输入端D分别置入“0”或“1”,再用清零端CLR和预置端PRE分别将触发器的输出端清除为“0”或置位为“1”,最后再用单脉冲按钮向触发器的时钟输入端CLK发出脉冲的上升边沿和下降边沿,同时观察电路输出端Q的输出状态,把结果填入表7-1中。 注意:清零和置位之后,清除端CLK和预置端PRE必须置成“1”状态。 图7-3 D触发器逻辑功能测试7-4 JK触发器清除和预置功能的测试

D触发器仿真(1) D触发器仿真(2

1 3.JK触发器 用带预置和清除的双JK触发器74LS76来测试下降沿触发集成JK触发器的逻辑功能。先按图7-4接线,改变预置端PRE和清除端CLR的信号,通过发光二极管观察触发器Q输出端的输出状态。把结果填入自制的表中。然后,按图7-5接线,测试JK触发器的逻辑功能。 图7-5 JK触发器逻辑功能测试

数字电子技术基础--第一章练习题及参考答案

第一章数字电路基础 第一部分基础知识 一、选择题 1.以下代码中为无权码的为。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2.以下代码中为恒权码的为。 A.8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 3.一位十六进制数可以用位二进制数来表示。 A.1 B.2 C.4 D. 16 4.十进制数25用8421BCD码表示为。 A.10 101 B.0010 0101 C.100101 D.10101 5.在一个8位的存储单元中,能够存储的最大无符号整数是。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数(53.5)10等值的数或代码为。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 7.矩形脉冲信号的参数有。 A.周期 B.占空比 C.脉宽 D.扫描期 8.与八进制数(47.3)8等值的数为: A. (100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 9.常用的B C D码有。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 10.与模拟电路相比,数字电路主要的优点有。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强 二、判断题(正确打√,错误的打×) 1. 方波的占空比为0.5。() 2. 8421码1001比0001大。() 3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。() 4.格雷码具有任何相邻码只有一位码元不同的特性。() 5.八进制数(18)8比十进制数(18)10小。() 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。()

万里学院数字电子技术基础第七章习题及参考答案

第七章习题 一、选择题 1.集成D/A 转换器DAC0832含有 个寄存器。 A.1 B.2 C.3 D.4 2.一个无符号8位数字量输入的DAC ,其分辨率为 位。 A.1 B.3 C.4 D.8 3.一个无符号10位数字输入的DAC ,其输出电平的级数为 。 A.4 B.10 C.1024 D.210 4.一个无符号4位权电阻DAC ,最低位处的电阻为40K Ω,则最高位处电阻为 。 A.4K Ω B.5K Ω C.10K Ω D.20K Ω 5.4位倒T 型电阻网络DAC 的电阻网络的电阻取值有 种。 A.1 B.2 C.4 D.8 6.为使采样输出信号不失真地代表输入模拟信号,采样频率f s 和输入模拟信号的最高频率 f ax Im 的关系是 。 A. f s ≥f ax Im B. f s ≤f ax Im C. f s ≥2f ax Im D. f s ≤2f ax Im 7.将一个时间上连续变化的模拟量转换为时间上断续(离散)的模拟量的过程称为 。 A.采样 B.量化 C.保持 D.编码 8.用二进制码表示指定离散电平的过程称为 。 A.采样 B.量化 C.保持 D.编码 9.将幅值上、时间上离散的阶梯电平统一归并到最邻近的指定电平的过程称为 。 A.采样 B.量化 C.保持 D.编码 10.若某ADC 取量化单位△=81REF V ,并规定对于输入电压I u ,在0≤I u <8 1REF V 时,认为输入的模拟电压为0V ,输出的二进制数为000,则 85REF V ≤I u <86REF V 时,输出的二进制数为 。 A.001 B.101 C.110 D.111 11.以下四种转换器, 是A/D 转换器且转换速度最高。 A.并联比较型 B.逐次逼近型 C.双积分型 D.施密特触发器 二、判断题(正确打√,错误的打×) 1.D/A 转换器的建立时间是反映转换速度的一个参数。( )

数字电路第一章数字电路习题集和答案

第一章绪论练习题 一、选择题 1.以下代码中为无权码的为。 A. 8421BCD码 B. 5421BCD码 C. 余三码 D. 格雷码 2.以下代码中为恒权码的为。 码 B. 5421BCD码 C. 余三码 D. 格雷码 3.一位十六进制数可以用位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 4.十进制数25用8421BCD码表示为。 101 0101 C.100101 、 5.在一个8位的存储单元中,能够存储的最大无符号整数是。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数()10等值的数或代码为。 A.(0101 8421BCD B.16 C.2 D.8 7.矩形脉冲信号的参数有。 A.周期 B.占空比 C.脉宽 D.扫描期 8.与八进制数8等值的数为: A. 2 B.16 C. )16 D.2 9. 常用的B CD码有。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 ( 10.与模拟电路相比,数字电路主要的优点有。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强11.把B二进制数转换成十进制数为() A. 150 B. 96 C.82 D. 159 12.将4FBH转换为十进制数( ) A. 0B B. 0B C. 0 D. 13.将数转换为十六进制数为() B.

C. D. ! 14.将十进制数130转换为对应的八进制数: B. 82 C. 120 D. 230 15.分别用842lBCD码表示()2为() B. 98 C. 980 D. 120 二、判断题(正确打√,错误的打×) 1. 方波的占空比为。() 2. 8421码1001比0001大。() 3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。()4.格雷码具有任何相邻码只有一位码元不同的特性。() 5.八进制数(18)8比十进制数(18)10小。() : 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。() 7.在时间和幅度上都断续变化的信号是数字信号,语音信号不是数字信号。() 8.占空比的公式为:q = t w / T,则周期T越大占空比q越小。() 9.十进制数(9)10比十六进制数(9)16小。() 10.当8421奇校验码在传送十进制数(8)10时,在校验位上出现了1时,表明在传送过程中出现了错误。() 三、填空题 1.描述脉冲波形的主要参数 有、、、、、、。 2.数字信号的特点是在上和上都是断续变化的,其高电平和低 电平常用和来表示。 3.分析数字电路的主要工具是,数字电路又称作。 4.在数字电路中,常用的计数制除十进制外,还有、 ) 、。 5.常用的BCD码有、、、等。常用的

电子技术基础(第一部分)——第十章 数字电路基础

第十章数字电路基础 【本章逻辑结构】 【本章重点内容】 1.数制及转换相关知识 2.基本门电路及功能 3.逻辑函数的公式化简法。 【本章考试要点】 第一节数字电路概述 1.数字电路的特点: (1)电路结构简单,稳定可靠。 (2)信号传输采用高低电平二值信号,抗干扰能力强。 (3)可完成数值运算和逻辑运算,双称逻辑电路。 (4)数字电路的元器件处于开关状态,功耗小。 2.脉冲信号 (1)持续时间极短的电压或电流信号叫脉冲信号。 (2)常见的脉冲波形有:矩形波、锯齿波、尖脉冲、阶梯波等。 (3)脉冲的主要参数有:脉冲幅值Vm、脉冲上升时间tr、脉冲下降时间tf、脉冲宽度tw、脉冲周期T。 3.数字信号 (1)由1和0来表示脉冲的出现和消失,一串脉冲就表示为一串1和0组成的数码,这种信号称为数字信号。 (2)正逻辑:1代表高电平,0代表低电平。 (3)负逻辑:1代表低电平,0代表高电平。 第二节RC电路的应用 1.RC微分电路 (1)微分电路能将矩形波转换成尖顶波,为触发器、计数器、开关电路提供触发信号。 (2)RC电路成为微分电路的条件:电路时间常数应远远大于输入脉冲宽度(至少

1/5),即τ=RC ,τ《w t 通常τ<1/5w t 时可以认为满足条件。 1. RC 积分电路 (1) 积分电路可以把矩形波转换成三角波,常用来作为数字电路中的定时元件,电视机 中用来从复合同步信号中取出场同步信号。 (2) RC 电路成为积分电路的条件:电路时间常数应远远大于输入脉冲宽度(至少3倍), 即τ=RC ,τ《w t 通常τ<1/5w t 时可以认为满足条件。 第三节 数制及码制 1. 数制 (1) 数制是计数的一种体制,常有的有十时制,而数字电路中常采用二进制(数码 有0、1)、八进制(数码有0~7)、十六进制(数码有0~1、A 、B 、C 、D 、E 、F )。 (2) 二进制数、十六进制数转换成十进制数的方法:乘权相加法。 例:(1101.1)2=1×23+1×22+0+21+1×20+1×2-1 =(13.5)10 (3AE)16=3×162+10×101+14×160 =(942)10 (3)十进制数转换成二进制数的方法:除以2取余倒记法(先得到的余数作为最低位。) 例(19)10=(10011)2 (3) 二进制数和十六进制数的互化:任意四位二进制数都和一位十六进制数唯一相 对。(11010110101.1100101)2=(0110 1011 0101.1100 1010)=(6B5.CA)16 (7E6)16=(0111 1110 0110)2 第四节 逻辑门电路基础 1. 基本逻辑门 (1) 与逻辑门

数字电子技术基础第一章习题答案

第一章习题解答[题1.1]」【解】 (1) () 2=(97) 16 =(151) 10 (2)() = 16 ) 6(D=(109)10 (3)( 0. ) 2=(0.5F) 16 =(0.) 10 (4)(11. 001) 2=(3. 2) 16 =(3.125) 10 [题1. 2]将下列十六进制数化为等值的二进制数和等值的十进制数。 【解】 (1) (8C) 16=() 2 =(140) 10 (2) (3D.BE) 16= (.) 2 =(61. ) 10 (3)(8F.FF) 16=(.) 2 =( 143.) 10 (4)(10.00) 16=(10000.) 2 = (16. ) 10 [题1. 3][解] (17) 10=(10001) 2 =(11) 16 (127) 10 =() 2 =(7F) 16 (0.39) 10=(0.0110) 2 =(0.6) 16 (25.7) 10 =(11001.1011) 2 =(19.B) 16 [题1. 4] [解] (1) (+1011) 2 的原码和补码都是01011(最高位的0是符号位)。 (2) (+00110) 2 的原码和补码都是(最高位的0是符号位)。 (3) (-1101) 2 的原码是11101(最高位的1是符号位),补码是10011 (4) (-) 2 的原码是(最高位的1是符号位),补码是 [题1. 5] [解] (1)首先找出真值表中所有使函数值等于1的那些输人变量组合。 然后写出一组变量组合对应的一个乘积项,取值为1的在乘积项中写

为原变量,取值为0的在乘积项中写为反变量。最后,将这些乘积项相加,就得到所求的逻辑函数式。 (2)将输人变量取值的所有状态组合逐一代入逻辑函数式,求出相 应的函数值。然后把输入变量取值与函数值对应地列成表,就得到了函数的真值表。 (3)将逻辑图中每个逻辑图形符号所代表的逻辑运算式按信号传输方 向逐级写出,即可得到所求的逻辑函数式。 (4)用逻辑图形符号代替函数式中的所有逻辑运算符号,就可得到由 逻辑图形符号连接成的逻辑图了。 [题1. 6] [解] 表Pl. 6( a)对应的逻辑函数式为 表P1.6(b)对应的逻辑函数式为 [题1. 7] [解]

数字电路第七章答案

第七章可编程逻辑器件PLD 第一节基本内容 一、基本知识点 (一)可编程逻辑器件PLD基本结构 可编程逻辑器件PLD是70年代发展起来的新型逻辑器件,相继出现了只读存储器ROM、可编程只读存储器PROM、可编程逻辑阵列PLA、可编程阵列逻辑PAL、通用阵列逻辑GAL 和可擦写编程逻辑器件EPLD等多个品种,它们的组成和工作原理基本相似。PLD的基本结构由与阵列和或阵列构成。与阵列用来产生有关与项,或阵列把所有与项构成“与或”形式的逻辑函数。在数字电路中,任何组合逻辑函数均可表示为与或表达式,因而用“与门-或门”两级电路可实现任何组合电路,又因为任何时序电路是由组合电路加上存储元件(触发器)构成的,因而PLD的“与或”结构对实现数字电路具有普遍意义。 在PLD中,输入电路中为了适应各种输入情况,每一个输入信号都配有一缓冲电路,使其具有足够的驱动能力,同时产生原变量和反变量输出,为与门阵列提供互补信号输入。输出电路的输出方式有多种,可以由或阵列直接输出,构成组合方式输出,也可以通过寄存器输出,构成时序方式输出。输出既可以是低电平有效,也可以是高电平有效;既可以直接接外部电路,也可以反馈到输入与阵列,由此可见PLD的输出电路根据不同的可编程逻辑器件有所不同。 (二)可编程逻辑器件分类 1.按编程部位分类 PLD有着大致相同的基本结构,根据与阵列和或阵列是否可编程,分为三种基本类型:(1)与阵列固定,或阵列可编程 (2)与或阵列均可编程 (3)与阵列可编程,或阵列固定 归纳上述PLD的结构特点,列于表7-1。 表7-1 各种PLD的结构特点 2.按编程方式分类 (1)掩膜编程 (2)熔丝与反熔丝编程 (3)紫外线擦除、电可编程 (4)电擦除、电可编程 (5)在系统编程(Isp) (三)高密度可编程逻辑器件HDPLD 243

《数字电子技术基础》复习指导(第七章)

第七章 半导体存储器 一、本章知识点 1、存储器的分类及每种类型的特点 ,用户无法更改。 ROM SRAM :靠触发器存储信息,不需刷新。 RAM DRAM :利用MOS 管栅电容存储信息,需要刷新。 2、掌握存储器电路的结构框图,对框内具体情况有一个大概的了解 3、了解存储器相关名词术语,如地址数、字数、字长、数据线及容量等 4、掌握存储器容量扩展方法。 5、掌握用ROM 构成组合逻辑函数的方法及ROM 构成的组合电路的分析。 二、例题 一、(概念题) 1、已知某存储器标有1K ×4字样,回答下列问题: (1)该存储器有几条地址线? (2)该存储器能存储多少个字? (3)每个字长是几位? (4)该存储器有几条数据线? (5)该存储器的容量是多少位? 2、ROM 由哪几部分组成?各部分的作用是什么? 3、在PROM 、EPROM 、E 2PROM 及Flash Memory 四种存储器中,可用光改写的是哪种? 4、哪些类型的ROM 可用来设计组合电路?组合电路的输入变量及输出变量如何安排? 5、根据存储数据原理的不同,RAM 可分为哪几种?它们存储数据的原理分别是什么? 存储器

6.动态触发器存储数据的原理是什么? 7.掩模ROM 、PROM 、EPROM 、E 2PROM 、Flash Memory 这五种只读存储器中哪些可用电信号擦除? (二)分析题 1.试用4片2114和译码器组成4K ×4的RAM ,其中2114是1K ×4的RAM 。 2.图示电路是用ROM 组成的逻辑电路,分析其功能。 3.用ROM 设计一个组合逻辑电路,用来产生下列一组逻辑函数 ???????==+++=+++=D C B A Y ABCD Y D C A D B A D C B C B A Y ACD ABD BCD ABC Y 4 321

数字电子技术基础(整理笔记)

第一章数字逻辑基础 1.1 数字电路概述 1.1.1 数字电路与模拟电路 电子电路根据其处理的信号不同可以分为模拟电子电路和数字电子电路。 1.模拟信号和模拟电路 模拟信号:在时间上和数值上都是练习变化的信号。 模拟电路:处理模拟信号的电子电路。 2.数字信号和数字电路 数字信号:在时间上和数值上都是离散(变化不连续)的信号。 数字电路:处理数字信号的电子电路。 3.数字电路的特点 ①数字电路内部的晶体管(包括单、双极型)主要工作在饱和导通或截止状态;模拟电路内部的晶体管主要工作在放大状态。 ②数字电路的信号只有两种状态:高电平和低电平,分别对应于(或代表)二进制数中的1和0,表示信号的有或无,便于数据处理。 ③数字电路结构相对简单,功耗较低,便于集成。 ④数字电路抗干扰能力强。其原因是利用脉冲信号的有无传递1和0的数字信息,高低电平间容差较大,幅度较小的干扰不足以改变信号的有无状态。 ⑤数字电路不仅能完成数值运算,而且还能进行逻辑运算和比较判断,从而在计算机系统中得到广泛应用。 4.数字电路的分类 ①按电路的组成结构可分为分列元件电路和集成电路。 ②按数字电路集成度可分为小规模、中规模、大规模和超大规模集成电路。 ③按集成电路内部器件可分为双极型和单级型。 ④按电路的逻辑功能可分为组合逻辑和时序逻辑电路。 1.1.2脉冲波形参数 数字电路信号中,研究的对象是一些不连续的突变的电信号,作用时间很短,所以也称为脉冲信号。 脉冲信号波形形状很多,主要有方波、矩形波、三角波、锯齿波等。 ①脉冲幅度Um。脉冲电压变化的最大值,即脉冲波从波底至波顶之间的电压。 ②上升时间t r。脉冲波前沿从0.1Um上升到0.9Um所需的时间。 ③下降时间t f。脉冲波后沿从0.9Um下降到0.1Um所需的时间。 ④脉冲宽度t w。脉冲波从上升沿的0.5Um至下降沿0.5Um所需的时间。 ⑤脉冲周期T。在周期性脉冲信号中,任意两个相邻脉冲上升沿(或下降沿)之间的时间 间隔。 ⑥重复频率f(单位:Hz)。每秒脉冲信号出现的次数,即脉冲周期的倒数:f=1/T。 ⑦占空比q。脉冲宽度与脉冲周期的比值,q=t w/T。 1.2.1数制与编码 十进制数、十六进制数、二进制数对应关系表

清华_数字电路技术基础_第七章_MOOC

wang_hong@https://www.wendangku.net/doc/778741047.html, the time) solves the "FINITE" baby won’t run out of memory wang_hong@https://www.wendangku.net/doc/778741047.html, wang_hong@https://www.wendangku.net/doc/778741047.html, Memory wang_hong@https://www.wendangku.net/doc/778741047.html,

wang_hong@https://www.wendangku.net/doc/778741047.html, wang_hong@https://www.wendangku.net/doc/778741047.html, wang_hong@https://www.wendangku.net/doc/778741047.html, 第七章输入/ 出电路 I/O 输入/出控制 输出引脚数目有限 wang_hong@https://www.wendangku.net/doc/778741047.html, Random-Access-Memory )

wang_hong@https://www.wendangku.net/doc/778741047.html, 7.2 ROM wang_hong@https://www.wendangku.net/doc/778741047.html, wang_hong@https://www.wendangku.net/doc/778741047.html, 地 址 数 据 A 0~A n-1 W0W(2n -1) D0Dm-1 wang_hong@https://www.wendangku.net/doc/778741047.html, 两个概念: ?存储矩阵的每个交叉点是一个“存储单元”,存储单元中有器件存入“1”?存储器的容量:“字数x 位数”

wang_hong@https://www.wendangku.net/doc/778741047.html, wang_hong@https://www.wendangku.net/doc/778741047.html, 7.2.2 可编程ROM (PROM ) 总体结构与掩模ROM 一样,但存储单元不同 wang_hong@https://www.wendangku.net/doc/778741047.html, 7.2.3 可擦除的可编程ROM (EPROM )wang_hong@https://www.wendangku.net/doc/778741047.html,

数字电子技术基础--第一章练习题及参考答案

… 第一章数字电路基础 第一部分基础知识 一、选择题 1.以下代码中为无权码的为。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2.以下代码中为恒权码的为。 码 B. 5421BCD码 C.余三码 D.格雷码 3.一位十六进制数可以用位二进制数来表示。 ~ A.1 B.2 C.4 D. 16 4.十进制数25用8421BCD码表示为。 101 0101 5.在一个8位的存储单元中,能够存储的最大无符号整数是。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数()10等值的数或代码为。 A.(0101 8421BCD B.16 C.2 D.8 7.矩形脉冲信号的参数有。 ) A.周期 B.占空比 C.脉宽 D.扫描期 8.与八进制数8等值的数为: A.2 B.16 C. )16 D.2 9.常用的B C D码有。 A.奇偶校验码 B.格雷码码 D.余三码 10.与模拟电路相比,数字电路主要的优点有。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强 二、判断题(正确打√,错误的打×) , 1. 方波的占空比为。() 2. 8421码1001比0001大。()

3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。() 4.格雷码具有任何相邻码只有一位码元不同的特性。() 5.八进制数(18)8比十进制数(18)10小。() 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。()7.在时间和幅度上都断续变化的信号是数字信号,语音信号不是数字信号。()8.占空比的公式为:q = t w / T,则周期T越大占空比q越小。() ( 9.十进制数(9)10比十六进制数(9)16小。() 10.当8421奇校验码在传送十进制数(8)10时,在校验位上出现了1时,表明在传送过程中出现了错误。() 三、填空题 1.描述脉冲波形的主要参数有、、、、、、。 2.数字信号的特点是在上和上都是断续变化的,其高电平和低电平常用 和来表示。 3.分析数字电路的主要工具是,数字电路又称作。 4.在数字电路中,常用的计数制除十进制外,还有、、。 5.常用的BCD码有、、、等。常用的可靠性代码 有、等。 6./ 7.(.1011)2=( )8=( )16 8. ( 8 =()2 =( )10=( )16=( )8421BCD 9. )10=()2=( )8=( )16 10. ( 16=()2=( )8=( )10= ( )8421BCD 11.( 0111 1000)8421BCD=() 2=( )8=( )10=( )16 四、思考题 1.在数字系统中为什么要采用二进制 2.格雷码的特点是什么为什么说它是可靠性代码 3.】 4.奇偶校验码的特点是什么为什么说它是可靠性代码

模拟与数字电子电路基础作业答案4

作业4 截止日期:2015-5-13(14) 要求:写出步骤,独立完成 内容:第七章1,2,3,4,5,6节, 第八章1,2节,第四章第5节 1.电路图如下,已知,求v O(10分) K; 参考解答:因为:v=R*i=R*K/v2;v3=K*R;v=3*R K; v O=V S-v=V S-3*R 2.电路图如下,已知:,求v B(10分) 参考解答:I=V S/(R A+R B+K/v B)=v B/R B;v B(R A+R B)=V S-K*R B;v B=(V S-K*R B)/(R A+R B) 求出下面电路的Thevenin等效电路,注意电路中包含一个dependent电压源,参数α的单位是欧姆。(15分) 提示:计算R th时,dependent source不能设为0,参考课本例3.23。 参考解答:先求U TH: 用节点法:I0=i+i F,U2-α*i=i*R1;U2=i F*R2; (I0-i)*R2-α*i=i*R1;i=R2*I0/(R1+R2+α); U TH=U2=i F*R2=(I0-i)*R2=R2*I0*(R1+α)/(R1+R2+α); 其次求R TH:R TH=(R1+α)*R2/(R1+R2+α);

3.课本第八章练习8.1。(20分) 参考解答:(1) V O=V S-I D*R L=V S-0.5K(V I-V T)2*R L; (2) 增益=-K*R L*(V I-V T); (3) 4.有一个Gate和Drain连接在一起的MOSFET,它的参数是V T和K。它的drain-to-source 电压和drain电流分别用v R和i R表示(20分) a.写出该MOSFET在饱和状态下的v R和i R的关系表达式(v R≥V T)。 b.建立MOSFET在operating point为(v R=V R)时的small signal模型,并给出v r和i r的关 系表达式。 提示:参考课本例8.1。 参考解答:a:i R=i DS=0.5*K*(v GS-V T)2=0.5*K*(v DS-v T)2=0.5*K*(v R-v T)2; b: i r/v r=K*(v R-V T); v r=i r/K*(v R-V T);当v R=V R时,v r=i r/K*(V R-V T) MOSFET在operating point为(v R=V R)时的small signal模型如下: r=1/K*(V R-V T) 5.课本第七章问题7.10。(10分) 参考解答: a : b :应用KVL:V S -I D *R S -V GS =0;I D =K*(V GS -V T )2/2;

(完整版)第一章第二章数字电路基础

填空题: 1、数字信号在 _____ 上和 ________ 上都是离散变化的,其高电平和低电平常用________ 和______ 来表 示。 2、将十六进制数A3D9转化为八进制数是__________________________ ,这个数对应的8421BCD码 为________________ 。 3、将八进制数346转化为十进制数是_______________ ,这个数对应的8421BCD码为____________ 。 4、将二进制数110010010转化为八进制数是_______________________ ,这个数对应的8421BCD码 为_______________ 。 5、将十六进制数AB89转化为八进制数是__________________________ ,这个数对应的8421BCD码 为_______________ 。 6、将十进制数7892转化为八进制数是 ______________________________ ,将其转化为十六进制数 7、(1011101)2=(________________ )8=(_____________________ )10=(________________ )16 = (______________________ )8421BCD 8、若原函数式为Y A(B C)则其对偶式为_______________ 。 9、若原函数式为Y A(B C)则其反函数为_______________ 。 10、逻辑函数F A B CD的反函数F为 _______________________ 。 11、逻辑函数F A(B C) 1的对偶式F'为____________________ 。 12、已知函数的对偶式为F' AB CD BC,则其原函数为 _____________________ 。 13、 ________________________________________________________________________________ 逻辑函数 F BCD BCD BCD BCD BCD BCD BCD BCD = ____________________________________________ 。 14、 ___________________________________________________________ 逻辑函数F AB AB AB AB = 。 15、常用逻辑运算的运算结果如下表所示,则L1、L2、L3分别为__________________ 、_____________ 和______________ 逻辑运算。

第一章 数字电路基础

第一章 基础知识 一、四种数制及其转换 1、四种数制的表达式: 式中:Ki 为第i 的系数,取值为0~N-1 N 为计数基数,取值为2、8、10、16 N i 为权 2.四种进制数的转换 关键:1)二——十进制转换 2)二进制数以小数点为基准的分组问题。 ① 二——十进制转换 把二进制数转换成等值的十进制数称为二——十进制转换。转换时只要按加权系数式展开,再把各项的数值相加即为十进制数。 例如: (1101.11)2=1×23+1×22+0×21+0×20+1×21-+1×22- =8+4+0+1+1/2+1/4=(13 .75)10 ② 十——二进制转换 指将十进制数转换成等到值的二进制数。可分为整数部分和小数部分转换两种情形。 对整数部分可采用连除法,即所谓“除2取余作系数,从低位到高位”的方法。 小数部分的转换可采用连乘法,即所谓“乘2取整作系数,从高位到低位”的方法。 例如:将(78)10化为二进制数;将(0.875)10转换为二进制数: 故(78)10=(1001110)2 (0.875)10=(0.111)2 ③ 二——十六进制转换 若将二进制数转换成等值的十六进制数,只要从低位到高位将4位二进制数分为一组,不足四位的补0,代之以等值的十六进制数,得到的即为十六进制数。 例如:将(10101001.10101011)2化为十六进制数: ④ 十六——二进制转换 若将十六进制转换成等值二进制,只需将十六进制每一位用等值的4位二进制数代替即可。 ∑ ∞-∞ ==i i i N K D

例如:(8AC.B8)16转换为二进制数 二进制数转换成八进制数采用“三位一组”的方法,即从待转换数的小数点开始,分别向左、向右将二进制数按每三位一组分组,不足三位的补0,然后按每组对应一位,写出每一组等值的八进制数。 十六进制数转换为八进制数采用先转成二进制,再转成八进制的方法。 ⑤二进制码的运算 二、码制 码制是指用二进制数表示数字符的编码方法。 例如用4位二进制数码表示一位十制数的0~9这十个状态,使其可在数字电路中运行时,有很多种不同的码制,见表1.1所示。 通常将用4位二进制码表示十进制的编码方法叫做二—十进制码,简称为BCD码。 1、BCD码 ①概念 用4位二进制数码表示1位十进制数的代码。 ②种类 8421码、2421码、余3码、余3循环码、BCD格雷码等等。 常用的BCD码 编码种类 十进制数 8421码余3码2421码余3循环码BCD格雷码 0 0000 0011 0000 0010 0000 1 0001 0100 0001 0110 0001 2 0010 0101 0010 0111 0011 3 0011 0110 0011 0101 0010 4 0100 0111 0100 0100 0110 5 0101 1000 0101 1100 0111 6 0110 1001 0110 1101 0101 7 0111 1010 0111 1111 0100 8 1000 1011 1110 1110 1100 9 1001 1100 1111 1010 1000 权值或特点权值8,4,2,1 1.无权码 2.由8421码 加0011(即 310)而得 权值2,4,2, 1 1.无权码 2.相邻码仅一 位不同 循环码,即相 邻码仅一位不 同 ③8421BCD码和十进制数之间的转换 8421BCD码和十进制数之间的转换是直接按位转换。 例如: (13.9)10=(0001 0011. 1001)8421BCD=(10011.1001)8421BCD

《数字电子技术基础》第七章习题(阎石主编,第四版)

[题7.9] 用ROM 设计一个组合电路,用来产生下列一组逻辑函数 1234Y ABCD ABCD ABCD ABCD Y ABCD ABCD ABCD ABCD Y ABD BCD Y BD BD ?=+++? =+++?? =+?? =+? 列出ROM 应有的数据表,画出存储矩阵的点阵图。 答案: 将函数化为最小项之和形式后得到 1051015 227813325710 402578101315 Y m m m m Y m m m m Y m m m m Y m m m m m m m m =+++=+++=+++=+++++++ ROM 的存储矩阵如图A7.9。 [题7.10] 用一片256×8位的RAM 产生如下一组组合逻辑函数 123 456Y AB BC CD DA Y AB BC CD DA Y ABC BCD ABD ACD Y ABC BCD ABD ACD Y ABCD Y ABCD =+++?? =+++??=+++?? =+++??=??=? 列出ROM 的数据表,画出电路的连接图,标明各输入变量与输出函数的接线端。 答案: 将函数化为最小项之和形式后得到

13679111213141520123468912 371113141540124851560 Y m m m m m m m m m Y m m m m m m m m m Y m m m m m Y m m m m m Y m Y m =++++++++=++++++++=++++=++++== 将ROM 地址的高四位接0,将A 、B 、C 、D 接至低四位地址输入端,取D 5~ D 0作为Y 1~Y 6输出。电路连接如图A7.10所示。 [题7.11] 用两片1024×8位的EPROM 接成一个数码转换器,将10位二进制数转换成等值的4位二-十进制数。 (1)试画出电路接线图,标明输入和输出。 (2)当地址输入A 9 A 8 A 7 A 6 A 5 A 4 A 3 A 2 A 1 A 0分别为0000000000、1000000000、1111111111时,两片EPROM 中对应地址中的数据各为何值? 答案: (1)电路接法如图A7.11。 (2)EPROM 中对应的数据如下表:

相关文档
相关文档 最新文档