文档库 最新最全的文档下载
当前位置:文档库 › 数字电路实验(九个)

数字电路实验(九个)

数字电路实验(九个)
数字电路实验(九个)

脉冲与数字电路实验

目录

实验一TTL数字集成电路使用、与非门参数测试实验二门电路

实验三组合逻辑电路

实验四译码器与编码器

实验五触发器

实验六计数器一

实验七计数器二

实验八多谐振荡电路

实验九综合实验

·二十四进制计数电路

·数字定时器

·图形发生器

专题实习通用计时器安装于调试

附录1 常用数字集成电路外引线图

附录2 TTL集成电路分类、推荐工作条件

实验一TTL数字集成电路使用、与非门参数测试

一、实验目的

学习TTL数字集成电路使用方法,学会查阅引脚图。掌握参数测试方法

二、实验设备及器件

1.逻辑实验箱1台

2.万用表1只

3.四2输入与非门74LS00 1只

三、实验重点

54/74LS系列数字集成电路的认识及使用方法

四、数字集成电路概述

以晶体管的“导通”与“截止”表达的两种状态及高电平(H)低电平(L)并以“1”

或“0”表示二进制数。能对二进制数进行逻辑运算、转换、传输、存储的集成电路称为数字集成电路。按分类有TTL型、CMOS型。按功能分有逻辑门电路、组合集成电路、集成触发器、集成时序逻辑电路。

五、实验内容及步骤

1.74LS系列数字集成电路外引线图及使用方法(引线图以14脚集成电路为例)

1)外引线排列

双列直插式封装引脚识别。引脚对称排

列,正面朝上半圆凹槽向左,左下为第1脚,

按逆时针方向引脚序号依次递增。

2)电源供电

芯片以5V供电,电源正极连接标有Vcc

字符的引脚,负极连接标有GND字符的

引脚。电源额定值应准确。为了达到良好的

使用效果,电源范围应满足4.5V≤Vcc≤5.5V。TTL数字集成电路引脚识别

电源极性连接应正确。

3)重要使用规则

a.输出端不能直接连接电源正极或负极。

b.小规模(SSI)和中规模(MSI)芯片,在使用中发热严重应检查外围连线连接是否正确。

1A1B1Y2A2B2Y GND 4A

4B4Y

3A

3B3Y

1A

1B1Y

2A

2B2Y

&

A

B

Y

&

A

B

Y

按图1.1完成连线。调节RP,改变V

i 值,按表1.2的要求逐个设定电压值,读出每个设

定值对应的输出值,并描绘电压传输特性曲线。

Rp 4.7K

Vi (V)

实验二 门电路

一、实验目的

熟悉逻辑实验箱的使用

掌握基本门电路逻辑功能测试方法 二、实验设备及器件 1.逻辑实验箱 2.万用表

3.四2输入与非门74LS00 4. 四2输入或门74LS32 5. 四2输入异或门74LS86

6. 2路3-3、2路2-2输入与或非门74LS51 7.四2输入集电极开路与非门74LS01 三、实验重点

熟悉常用门电路的逻辑符号及逻辑图、了解门电路对脉冲信号的控制作用 四、集成电路外引线图、逻辑符号及逻辑图 1、四2输入与非门74LS00

2、四2输入与非门74LS01(OC 门)

3、四2输入或门74LS32

1A 1B 1Y 2A 2B 2Y GND 4A 4B

4Y

3A 3B 3Y 1A 1B 1Y 2A 2B 2Y &

A B Y

&

A B

Y

1A 1B 1Y 2A 2B 2Y GND 4A 4B

4Y

3A 3B 3Y 1A 1B 1Y 2A 2B

2Y &

A B Y

A B

Y

&

3A 1A 1B 1Y 2A 2B 2Y 1

A B

Y

1

4、四2输入异或门74LS86

5、2路3-3输入、2路2-2输入与或非门74LS51

五、实验内容及步骤 (一)基本门电路

实验记录表中输出栏“电平”用万用表V 档测取电压值,逻辑状态高电平用“1”表示,低电平用“0”表示。

1. A 与非门逻辑功能测试(74LS00)

与非门逻辑图

1.B 集电极开路(OC 门)与非门逻辑功能测试(74LS01)

集电极开路与非门逻辑图 1A 1B 1Y 2A 2B 2Y GND 4A

4B 4Y

3A

3B 3Y 1A 1B 1Y 2A 2B

2Y =A B

Y

1=1

2A 2B 2Y 2C 2D GND 1F 1E 2D 1C 1D 2C 1A 1B 1Y 2A

2B 2Y &

1A 1B 1C 1D 1E 1A &&&112A

2D

2C 2B 1F

1Y 2Y A B Y A B

Y

2 或门逻辑功能测试(74LS32)

或门逻辑图

3 异或门逻辑功能测试(74LS86)

异或门逻辑图

4 与或非门逻辑功能测试(74LS51)

与或非门逻辑图

(二) 门电路逻辑功能转换(74LS00)

按测试结果判断电路实现什么逻辑功能

1. A B

Y A

B

Y

A

B

C Y

A

Y

2.

3.

4

(三) 观察与非门对脉冲信号的控制作用

连续脉冲信号作用于输入端A ,控制信号作用于输入端B 。控制信号由高电平“1” 和低电平“0”组成。观察控制信号分别为“0”状态和“1”状态时输出状态,并描 绘输出端波形图。

六、思考题:门电路输出端能否并联使用?哪一类能并联,哪一类不能并联。

Y

A

B

Y A

B

Y A B A

B Y

A B Y

实验三 组合逻辑电路

一、实验目的

熟悉掌握用门电路组成全加器的方法,集成全加器功能测试。 二、实验设备及器件

1 逻辑实验

2 万用表

3 四位二进制超前进位全加器74LS283

4 四2输入与非门74LS00

5 四2输入异或门74LS8

6 6 双2路2-2输入与或非门74LS51 三、集成电路外引线图及逻辑符号

四、实验内容及步骤

(一)集成全加器74LS283功能测试

四位二进制超前进位全加器74LS283设有二组数据输入端A 4A 3A 2A 1、B 4B 3B 2

B 1和进位信号输入端

C 0。求和信号、进位信号分别由∑4∑3∑2∑1及C 4输出。图中输入端A 4A 3A 2A 1分别各接一个逻辑开关,输入端B 4B 3B 2B 1分别接另四个逻辑开关。C 0接一个逻辑开关。输出端∑4∑3∑2∑1分别各接一只状态显示发光二极管,C 4接一只状态显示发光二极管。

Vcc B3A3S3A4B4S4A1B1A2B2S1S2GND C0C4A1A2A3A4P

Q

B1B2B3B40303C0C4

03S S1S2S3S4CI CO S

(二)门电路74LS86、、74LS00组成半加器

半加器只能进行一位的二进制数加法,而且不含进位输入信号。图中A 、B 为数据输

入端,S 、C 分别为求和信号、进位信号输出。

(三)门电路74LS86、74LS51、74LS00组成全加器

与半加器不同的是,全加器能将加数、被加数和低位来的进位信号进行求和运算。

图中输入数据由二个一位二进制数A 、B 及进位输入信号C 0组成,求和信号、进位信号分别由∑及C 1输出。将输入端A 、B 、C 0各接一个逻辑开关。输出端∑、C 1各接一只状态显示发光二极管。按表3.3条件输入数据,记录测试结果。

C0A B

实验四 译码器与编码器

一、实验目的

熟悉掌握译码器与编码器的使用方法。

二、实验设备及器件

1.逻辑实验箱 2.万用表 3.3线-8线译码器(反码输出)74LS138 4.4线-7段译码/驱动器74LS48 5.8线-3线优先编码器74LS148 三、实验内容及步骤

译码器与编码器都是一种有多个输入端与输出端的组合逻辑电路,主要用于代码变

换、驱动数字显示器件、还可以作为数据分配器使用。

(一)3线-8线译码器(反码输出)74LS138功能测试(图4.1)

1.集成电路外引线图、逻辑符号及功能图

2.参数测试

1=高电平;0=低电平;×=任意

Vcc Y0Y1Y2Y3Y6Y5Y4C

OE2A GND B A OE2B OE1Y7Y0Y1Y2Y3Y6Y5Y4C OE2A B A OE2B OE1Y701236547EN &BIN/OCT 321Y0

Y1Y2Y3Y6Y5

Y4C OE2A B A OE2B Y7

(二)4线-7段译码/驱动器74LS48功能测试(图5.2)

译码芯片以BCD 码输入,输出高电平驱动共阴显示器。输出极为发射极开路输出,内设2K 上拉电阻,无需外接限流电阻。LT ,用于检测显示器,灭灯端BI/RBO 、灭零端RBI (灭无效零)。LED 显示器分为共阴、共阳。内部设置8段独立的发光器件。“共阴”是指发光器件负极连在一起。

灯测:当 LT =“0”时,显示器显示“8”字符 灭灯:当 BI/RBO =“0”时,显示器熄灭

灭零:当 RBI =“0”时,且A 、B 、C 、D 同时输入“0”(欲显示十进制数“0”

时)显示器熄灭

1.集成电路外引线图、逻辑符号及功能图

2.参数测试

Vcc f g a b e d c LT BI/RBO GND C B RBI D A a b c d g

f e LT A RBI BI/RBO B a b c d

g f e C D C LT RBI BI/RBO

(三).8线-3线优先编码器74LS148功能测试(图5.3)

芯片设有8个数据输入端0-7,1个使能输入端E1。3个数据输出端A2、A1、A0,2个使能输出端GS 、E0。编码优先级别顺序依次是7、6、5、4、3、2、1、0。. 当E1=“1”时 GS =E0=“1”无编码输入。

当E1=“0”时 数据输入端0-7全为高电平输入时,GS =“1”、E0=“0”无编码

输入。

当E1=“0”时 数据输入端0-7有数据输入(低电平),GS =“0”、E0=“1”有

编码输入。

1.集成电路引脚图、逻辑符号及功能图

1=高电平;0=低电平;×=任意

Vcc E0GS 32A00167GND 54E1A2A111Q 2Q GS A1A2E05740E16A04Q Q 1B GND V18

BIN/OCT 3210123654GS A1

A0E075/Z157/Z174/Z140/Z106/Z163/Z132/Z121/Z111517141016131211A2

实验五 触发器

一、实验目的

掌握D 型、JK 型触发器逻辑功能测试及功能转换。 二、实验设备及器件

1.逻辑实验箱 2.万用表 3.双上升沿D 型触发器74LS74(有预置、清除端) 4 双下降沿JK 型触发器74LS112(有预置、清除端) 5.四2输入与非门74LS00 三、实验难点

1.注意区分置“0”( 置“1” )的操作与触发器输出的“0”( “1” )状态,前者表示

一种操作,后者表示触发器的一种输出状态。

2.触发器是一种基本的存储单元,输出状态的保持并不需要输入信号的维持。 3.实验中注意异步输入端的操作方法。观察时钟信号的触发沿。 四、实验内容及步骤

按测试图要求选择器件并完成连线。时钟信号输入端连接“单脉冲”,其余输入端分

别连接“逻辑开关”。输出端连接“状态指示灯”。注意:异步输入端PRE 、CLR 不能同时作用低电平。 (一)、双上升沿D 型触发器74LS74功能测试

1.集成电路外引线图、逻辑符号及新旧符号对照

2.异步输入端PRE 、CLR 功能测试。

1 1 1 1

1

1 1 Vcc 1CLK 1PRE GND 1D

1CLR 1Q 1Q 1Q 1Q 1D 1CLK 1PRE 1D C11CLR R

S 2CLK 2PRE 2D 2CLR 2Q 2Q

2D 2CLK 2PRE 2CLR

2Q 2Q

Q Q D CLK PRE

D C CLR R S D 2Q 2Q

CLK CLR

D CP S R D D

3.输入端D 、CP 功能测试。

(二) 双下降沿JK 型触发器74LS112功能测试(图5.2) 1.集成电路外引线图、逻辑符号及图形符号

2.异步输入端PRE 、CLR 功能测试。

3

1

D CP S R D

D

K CP S R D D

J Vcc

1J 1PRE GND 1K 1CLK 1Q 1Q 1Q 1Q 1J 1CLK 1PRE 1K C12CLR R

S 2K 2PRE 2CLR 1CLR 2Q

2J 2Q 2Q

Q Q K CLK PRE K C CLR R S K Q Q

2Q 2CLK 1K 1J 2J 2CLK 2PRE 2CLR

2K J J J CLK CLR

PRE

(三)触发器逻辑功能转换

1.将D 型触发器转换成T ′触发器。设触发器初态为“0”状态,异步输入端接逻辑开关。

按测试表格要求逐个送入CP 信号,记录实验结果、绘制波形图。

2.将JK 型触发器转换成T 触发器

3将JK 型触发器转换成D 触发器

CP Q

D

实验六 计数器一

一、实验目的

巩固触发器的使用、了解计数器的常用功能 二、实验设备及器件 1.逻辑实验箱 2.万用表

3.双上升沿D 型触发器74LS74(有预置、清除端) 4 双下降沿JK 型触发器74LS112(有预置、清除端) 5.四2输入与非门74LS00 三、实验内容及步骤

1.三位异步二进制减法计数器(集成电路选用74LS112)

集成电路外引线图 逻辑符号 图形符号

计数电路时钟输入端连接“单脉冲”,K 1、K2分别连接“逻辑开关”。Q C 、Q B 、Q A 连接“指示灯”。实验操作中注意K1、K2开关的作用。区分计数电路的清零状态、置数状态及计数状态。记录实验结果、绘制波形图。

Vcc 1J 1PRE GND 1K 1CLK 1Q 1Q 1Q 1Q 1J

1CLK 1PRE 1K

C1

2CLR

R S 2K 2PRE 2CLR 1CLR 2Q 2J 2Q 2Q Q Q K CLK PRE

K C CLR R S K CP S R Q Q

D D

2Q 2CLK 1K 1J 2J

2CLK 2PRE 2CLR

2K J J J CP Q A Q B Q C

2.二位异步二进制加法计数器(集成电路选用74LS74)

3.二位同步二进制可逆计数器(集成电路选用74LS112、74LS00)

Vcc 1CLK 1PRE

GND

1D 1CLR 1Q 1Q 1Q 1Q 1D 1CLK 1PRE

1D C11CLR R

S 2CLK 2PRE

2D 2CLR

2Q 2Q

2D 2CLK 2PRE 2CLR

2Q 2Q

Q Q D CLK PRE

D C CLR R S D CP S R 2Q 2Q

D D

CP

K1

Q A Q B

1”K3K2K1

验七 计数器二

一、实验目的

了解集成计数器的种类、学会计数器的测试方法及功能扩展 二、实验设备及器件 1.逻辑实验箱

2.万用表 3.十进制同步计数器74LS160(异步清除、同步置数)

4 十进制同步加/减计数器74LS192(异步清除、异步置数) 5.四2输入与非门74LS00 三、实验内容及步骤

(一)十进制同步计数器74LS160功能测试

1.集成电路外引线图、逻辑符号及功能图

2.功能说明

十进制同步计数器74LS160是一种通用型中规模集成芯片,时钟信号上升沿有效,异步清除,同步置数。设有四个数据输入端D 、C 、B 、A ,逻辑输入端为时钟输入CLK 、清除端CLR 、使能输入端EP 、E T 、置数端LD 。计数结果以BCD 码从Q D 、Q C 、Q B 、Q A 输出,RCO 为进位信号输出端。

CLR :异步清零端(也可用R D 或Cr 表示),低电平有效。当CLR =“0”时 Q D =Q C =Q B =Q A =0

LD :置数端,配合输入数据D 、C 、B 、A 预置计数器初态。当LD =“0”,时钟信

号上升沿到达时置数有效。

EP 、ET :使能输入端(也可用ENP 、ENT 或S 1、S 2表示)。当EP =“0”或 ET =“0”、EP =ET =“0”时,计数静止,计数器处于暂停状态。 计数状态:CLR =LD =EP =ET =“1”。

3.计数功能测试

Vcc A D

GND

Q A CLK B C RCO

CLR LD CTRDIV10M2

M1

ET G3CT=0Q C LD

RCO CLR ET Q D Q A Q B EP Q B EP G4

A B CLK D

C C5/2,3,4+3CT=9Q C Q

D 1.5D

[1][2][8]

[4]RCO CLR LD ET Q A Q B EP A B CLK

D

C Q C Q D

K1

K2K3A

B D C

参数记录表

提示:K2掷于高电平,K4、K5、K6、K7任意状态4.实现6进制计数

提示:

去掉

K1开

关,按

图连接清零支路,K2、K3掷于高电平,K4、K5、K6、K7任意状态

5.置数功能测试(图7.1)

K3=0

CLK CLR(K1)

K3

Q A

Q B

Q C

Q D

RCO

数字电路课程设计实验报告1

序言 在测试、研究或调整电子电路及设备时,为测定电路的一些点参量,如测量频率响应、噪声系数,为电压表定度等,都要求提供符合所需技术要求的电信号,以模拟在实际工作中使用的待测设备的激励信号。信号发生器即由此而来,作为电子设计中常用仪器仪表,信号发生器又称信号源,可以用来产生被测电路所需特定参数的电测试信号。根据输出波形的不同,信号源可以分为正弦波信号发生器、矩形脉冲信号发生器、函数信号发生器和随机信号发生器等四大类。例如当要求进行系统的稳定性测量时,需使用振幅、波形、幅值等能在一定范围内进行精确调整,有很好的稳定性,有输出指示。基于信号发生器的广泛使用,对信号发生器设计的研究就显得非常有意义。 本课题是利用VHDL语言来实现计费功能的,VHDL具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化、结构化设计方面,表现了强大的生命力和应用潜力,因此选用VHDL语言进行编程。 本次课程设计巩固和运用了所学课程,通过理论联系实际,提高了分析、解决计算机技术实际问题的独立工作能力,通过对一个函数信号发生器的设计,进一步加深了对计算机原理以及数字电路应用技术方面的了解与认识,进一步熟悉了数字电路系统设计、制作与调试的方法和步骤。进一步了解了计算机组成原理与系统结构,使自己对EDA技术的学习更深入,学会用VHDL语言去控制将会使我们对本专业知识可以更好地掌握。 现在的信号发生器设计有以下几种方法: (1) 模拟直接合成法。这种方法充分利用了乘法器、除法器、混频器、滤波器和快速开关构成合成信号发生器,但是它的缺点是带宽不够高,性能差,构成信号发生器的电路体积比较庞大,而且功耗较高。

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

数字电路设计实例

数字电路综合设计案例 8.1 十字路口交通管理器 一、要求 设计一个十字路口交通管理器,该管理器自动控制十字路口两组红、黄、绿三色交通灯,指挥各种车辆和行人安全通过。 二、技术指标 1、交通管理器应能有效操纵路口两组红、黄、绿灯,使两条交叉道路上的车辆交替通行,每次通行时间按需要和实际情况设定。 2、在某条道路上有老人、孩子或者残疾人需要横穿马路时,他们可以举旗示意, 执勤人员按动路口设置的开关,交通管理器接受信号,在路口的通行方向发生转换时,响应上述请求信号,让人们横穿马路,这条道上的车辆禁止通行,即管理这条道路的红灯亮。 3、横穿马路的请求结束后,管理器使道口交通恢复交替通行的正常状态。 三、设计原理和过程: 本课题采用自上而下的方法进行设计。 1.确定交通管理器逻辑功能 ⑴、十字路口每条道路各有一组红、黄、绿灯,用以指挥车辆和行人有序地通行。其中红灯亮表示该条道路禁止通行;黄灯亮表示停车;绿灯亮表示通行。因此,十字路口车辆运行情况有以下几种可能: ①甲道通行,乙道禁止通行; ②甲道停车线以外的车辆禁止通行(必须停车),乙道仍然禁止通行,以便让甲道停车线以内的车辆安全通过; ③甲道禁止通行,乙道通行; ④甲道仍然不通行,乙道停车线以外的车辆必须停车,停车线以内的车辆顺利通行。 ⑵、每条道路的通车时间(也可看作禁止通行时间)为30秒~2分钟,可视需要和实际情况调整,而每条道路的停车时间即黄灯亮的时间为5秒~10秒,且也可调整。 ⑶、响应老人、孩子或残疾人特殊请求信号时,必须在一次通行—禁止情况完毕后, 阻止要求横穿的那条马路上车辆的通行。换句话说,使另一条道路增加若干通行时间。 设S1和S2分别为请求横穿甲道和乙道的手控开关,那么,响应S1或S2的时间必定在甲道通乙道禁止或甲道禁止乙道通两种情况结束时,且不必过黄灯的转换。这种规定是为了简化设计。 由上述逻辑功能,画出交通管理器的示意图如图8-1所示,它的简单逻辑流程图如图8-2所示。示意图中甲道的红、黄、绿灯分别用R、Y、G表示,而乙道的红、黄、绿灯分别用r、y、g表示。简单逻辑流程图中设定通行(禁止)时间为60秒,停车时间为10秒。

数字电路组合逻辑电路设计实验报告

数字电路组合逻辑电路设 计实验报告 The Standardization Office was revised on the afternoon of December 13, 2020

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测

出门电路的输出响应。动态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。

数字电路与逻辑设计实验实验四

中山大学南方学院 电气与计算机工程学院 课程名称:数字电路与逻辑设计实验实验题目:译码显示电路

附:实验报告 专业:电子信息科学与技术年级:18 完成日期:2020年7月05日学号:182018010 姓名:叶健行成绩: 一、实验目的 (一)掌握中规模集成译码器的逻辑功能和使用方法。 (二)熟悉数码管的使用。 二、实验原理 (一)数码显示译码器 1、七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图1 (a)、(b)为共阴管和共阳管的电路,(c)为两种不同出线形式的引出脚功能图。 一个LED数码管可用来显示一位0~9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。 (a) 共阴连接(“1”电平驱动)(b) 共阳连接(“0”电平驱动)

(c) 符号及引脚功能 图1 LED 数码管 2、BCD 码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用74LS48 BCD 码锁存/七段译码/驱动器。驱动共阴极LED 数码管。图2为74LS48引脚排列。 其中 A 、B 、C 、D — BCD 码输入端 a 、 b 、 c 、 d 、 e 、 f 、 g — 译码输出端,输出“1”有效,用来驱动共阴极LED 数码管。 LT — 灯 测试输入端,LT =“0”时,译码输出全为“1” BI R — 灭 零 输入端,BI R =“0”时,不显示多余的零。 RBO /BI — 作为输入使用时,灭灯输入控制端; 作为输出端使用时,灭零输出端。 (二)扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出的的数据,应用扫描式译码显示,可使电路大为简化。有些系统,比如计算机,某些A/D 转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD 码,如图(三)所示。图中的Ds 称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1高电平送出千位数,Ds2高电平送出百位数,……一般Ds 的高电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片 LED

数字电路实验指导书2016

***************************************************** ***************************************************** *********************************************** 数字电路 实验指导书 广东技术师范学院天河学院电气工程系

目录 实验系统概术 (3) 一、主要技术性能 (3) 二、数字电路实验系统基本组成 (4) 三、使用方法 (12) 四、故障排除 (13) 五、基本实验部分 (14) 实验一门电路逻辑功能及测试 (14) 实验二组合逻辑电路(半加器全加器及逻辑运算) (18) 实验三译码器和数据选择器 (43) 实验四触发器(一)R-S,D,J-K (22) 实验五时序电路测试及研究 (28) 实验六集成计数器161(设计) (30) 实验七555时基电路(综合) (33) 实验八四路优先判决电路(综合) (43) 附录一DSG-5B型面板图 (45) 附录二DSG-5D3型面板图 (47) 附录三常用基本逻辑单元国际符号与非国际符号对照表 (48) 附录四半导体集成电路型号命名法 (51) 附录五集成电路引脚图 (54)

实验系统概述 本实验系统是根据目前我国“数字电子技术教学大纲”的要求,配合各理工科类大专院校学生学习有关“数字基础课程,而研发的新一代实验装置。”配上Lattice公司ispls1032E可完成对复杂逻辑电路进行设计,编译和下载,即可掌握现代数字电子系统的设计方法,跨入EDA 设计的大门。 一、主要技术性能 1、电源:采用高性能、高可靠开关型稳压电源、过载保护及自动恢复功能。 输入:AC220V±10% 输出:DC5V/2A DC±12V/0.5A 2、信号源: (1)单脉冲:有两路单脉冲电路采用消抖动的R-S电路,每按一次按钮开关产生正、负脉冲各一个。 (2)连续脉冲:10路固定频率的方波1Hz、10Hz、100Hz、1KHz、10KHz、100KHz、500KHz、1MHz、5MHz、10MHz。 (3)一路连续可调频率的时钟,输出频率从1KHz~100KHz的可调方波信号。 (4)函数信号发生器 输出波形:方波、三角波、正弦波 频率范围:分四档室2HZ~20HZ、20HZ~200HZ、200HZ~2KHZ、2KHZ~20HZ。 3、16位逻辑电平开关(K0~K15)可输出“0”、“1”电平同时带有电平指示,当开关置“1”电平时,对应的指示灯亮,开关置“0”电平时,对应的指示灯灭,开关状态一目了然。 4、16位电平指示(L0~L15)由红、绿灯各16只LED及驱动电路组成。当正逻辑“1”电平输入时LED红灯点亮,反之LED绿灯点亮。

数字电路实验

数字电路实验 实验要求: 1. 遵守实验室规则,注意人身和仪器设备的安全。 2. 预习并按规范写好预习报告,否则不能参加实验。 3. 进入实验室后保持安静,对号入座, 4. 将预习报告置于实验桌右上角,待指导教师检查。 5. 完成实验任务后,保持实验现场,报请老师验收。验收时需清楚简练地向老师介绍实验情况、证明自己已完成了实验任务。 6.实验成绩由预习报告、实验效果与实验纪律、独立动手能力、实验报告等综合决定。 实验报告内容要求 1. 实验名称、实验者姓名、实验时间地点和指导教师等。 2. 实验目的与要求。 3. 实验用仪器仪表的名称和型号。 4. 实验电路和测试电路。包括实验所用的器件品种、数目和参数。 5. 实验内容、步骤,在这部分内容中,应用简明的语言或提纲给出实验的具体内容,步骤、记录实验中的原始数据,绘制出根据观察到的波形整理出的图表、曲线,反映在实验中遇到的问题及处理的经过。如对原实验方案进行了调整,则应写出调整方案的理由和调整情况。 6. 实验结果及分析。实验结果是对实验所得的原始数据进行分析计算后得出的结论。可以用数值或曲线表达,实验结果应满足实验任务的要求。 7. 实验小结。总结实验完成的情况,对实验方案和实验结果进行讨论,对实验中遇到的问题进行分析,简单叙述实验的收获、体会等。 8. 参考资料。记录实验进行前、后阅读的有关资料,为今后查阅提供方便。

实验一TTL与非门参数测试及使用 一、实验目的 1、学习TTL和CMOS门电路的逻辑功能测试方法,加深认识TTL与CMOS门电路的 电平差异。 2、通过测试TTL与非门的电压传输特性,进一步理解门电路的重要参数及其意义(包 括U OL、U OH、U ON、U OFF、U TH、U NL、U NH)。 3、了解一般的集成门电路器件的常用封装形式和引脚排列规律,掌握使用方法。 4、熟悉数字实验箱的结构和使用方法。 二、预习要求 1、TTL与CMOS门电路的逻辑功能及闲置输入端的处置方法。 2、电压传输特性曲线及其所表征的主要参数的意义。 3、设计实验数据纪录表格 三、实验内容 1、测试TTL与非门74LS00和CM0S或非门CC4001逻辑功能。 (1)识别72LS00和CC4001的封装及引脚排列。 (2)正确连接测试电路,特别注意直流工作电压的大小和极性。 (3)测试它们的真值表,要求纪录输入高低电平(U IL、U IH)和输出高低电平(U OL、U OH)。 (4)实验TTL和CMOS门电路的输入端悬空对门电路输出的影响。 2、测试TTL与非门电压传输特性。 (1)正确连接测试电路,特别注意实心电位器的连接,连接错误易损坏电位器。 (2)注意在特性曲线的转折处应适当增加测量点。 (3)正确读取数据并纪录。 四、实验报告 1、书写格式要规范,书写认真、字迹清晰。 2、实验报告内容要齐全 3、测试的原始数据要真实,不能随意修改原始数据。 4、绘制TTL门的传输特性曲线,并根据曲线标出U ON、U OFF、U TH及U NL、U NH。 5、实验结果分析与小结 实验二组合逻辑电路设计 一、实验目的 1、学习用小规模集成电路设计组合逻辑电路的方法,进一步掌握组合逻辑电路的 分析和设计方法。 2、学习用中规模集成电路实现组合逻辑函数的方法 3、学习数字电路实验中查找电路故障的一般方法。 二、预习要求 1、组合逻辑电路分析、设计的一般方法。 2、用译码器和数据选择器实现组合逻辑函数的方法。 3、画出用译码器74LS138实现半加器的电路图。 三、实验内容 1、用与非门实现半加器。

数字电路实验计数器的设计

数字电路与逻辑设计实验报告实验七计数器的设计 :黄文轩 学号:17310031 班级:光电一班

一、实验目的 熟悉J-K触发器的逻辑功能,掌握J-K触发器构成异步计数器和同步计数器。 二、实验器件 1.数字电路实验箱、数字万用表、示波器。 2.虚拟器件: 74LS73,74LS00, 74LS08, 74LS20 三、实验预习 1. 复习时序逻辑电路设计方法 ①根据设计要求获得真值表 ②画出卡诺图或使用其他方式确定状态转换的规律 ③求出各触发器的驱动方程 ④根据已有方程画出电路图。 2. 按实验内容设计逻辑电路画出逻辑图 Ⅰ、16进制异步计数器的设计 异步计数器的设计思路是将上一级触发器的Q输出作为下一级触发器的时钟信号,置所有触发器的J-K为1,这样每次到达时钟下降沿都发生一次计数,每次前一级 触发器从1变化到0都使得后一级触发器反转,即引发进位操作。 画出由J-K触发器组成的异步计数器电路如下图所示:

使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位 触发器的输出,以及时钟信号。: 可以看出电路正常执行16进制计数器的功能。 Ⅱ、16进制同步计数器的设计 较异步计数器而言,同步计数器要求电路的每一位信号的变化都发生在相同的时间点。

因此同步计数器各触发器的时钟脉冲必须是同一个时钟信号,这样进位信息就要放置在J-K 输入端,我们可以把J-K端口接在一起,当时钟下降沿到来时,如果满足进位条件(前几位触发器输出都为1)则使JK为1,发生反转实现进位。 画出由J-K触发器和门电路组成的同步计数器电路如下图所示 使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位触发器的输出,计数器进位输出,以及时钟信号。:

数字电路实验指导书

数字逻辑电路 实验指导书 师大学计算机系 2017.10 . .

数字逻辑电路实验 Digital Logic Circuits Experiments 一、实验目的要求: 数字逻辑电路实验是计算机科学与技术专业的基础实验,与数字逻辑电路理论课程同步开设(不单独设课),是理论教学的深化和补充,同时又具有较强的实践性,其目的是通过若干实验项目的学习,使学生掌握数字电子技术实验的基本方法和实验技能,培养独立分析问题和解决问题的能力。 二、实验主要容: 教学容分为基础型、综合型,设计型和研究型,教学计划分为多个层次,学生根据其专业特点和自己的能力选择实验,1~2人一组。但每个学生必须选做基础型实验,综合型实验,基础型实验的目的主要是培养学生正确使用常用电子仪器,掌握数字电路的基本测试方法。按实验课题要求,掌握设计和装接电路,科学地设计实验方法,合理地安排实验步骤的能力。掌握运用理论知识及实践经验排除故障的能力。综合型实验的目的就是培养学生初步掌握利用EDA软件的能力,并以可编程器件应用为目的,培养学生对新技术的应用能力。初步具有撰写规技术文件能力。设计型实验的目的就是培养学生综合运用已经学过的电子技术基础课程和EDA软件进行电路仿真实验的能力,并设计出一些简单的综合型系统,同时在条件许可的情况下,可开设部分研究型实验,其目的是利用先进的EDA软件进行电路仿真,结合具体的题目,采用软、硬件结合 的方式,进行复杂的数字电子系统设计。 . .

数字逻辑电路实验 实验1 门电路逻辑功能测试 实验预习 1 仔细阅读实验指导书,了解实验容和步骤。 2 复习门电路的工作原理及相应逻辑表达式。 3 熟悉所用集成电路的引线位置及各引线用途。 4 熟悉TTL门电路逻辑功能的测试。 5 了解数字逻辑综合实验装置的有关功能和使用方法。 实验目的 1 熟悉数字逻辑实验装置的有关功能和使用方法。 2 熟悉双踪示波器的有关功能和使用方法。 3 掌握门电路的逻辑功能,熟悉其外形和外引线排列。 4 学习门电路的测试方法。 实验仪器 1 综合实验装置一套 2 数字万用表一块 3 双踪示波器一台 4 器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 两输入端四异或门1片 74LS04 六反相器1片 实验原理说明 数字电路主要研究电路的输出与输入之间的逻辑关系,这种逻辑关系是由门电路的组合来实现的。门电路是数字电路的基本单元电路。门电路的输出有三种类型:图腾柱输出(一般TTL门电路)、集电极开路(OC门)输出和三态(3S)输出。它们的类型、逻辑式、逻辑符号与参考型号见表1-0。门电路的输入与输出量均为1和0两种逻辑状态。我们在实验中可以用乒乓开关的两种位置表示输入1和0两种状态,当输入端为高电平时,相应的输入端处于1位置,当输入端为低电平时,相应的输入端处于0位置。我们也可以用发光二极管的两种状态表示输出1和0两种状态,当输出端为高电平时,相应的发光二极管亮,当输出端为低电平时,相应的发光二极管不亮。我们还可以用数字万用表直接测量输出端的电压值,当电压值为3.6V左右时为高电平,表示1状态;当电压值为0.3V以下时为低电平,表示0状态。在实验中,我们可以通过测试门电路输入与输出的逻辑关系,分析和验证门电路的逻辑功能。我们实验中的集成电路芯片主要以TTL集成电路为主。 . .

哈工大数字电路实验报告实验一

数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学

实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:

2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。

制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输

2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:

确定版的50个典型经典应用电路实例分析

电路1简单电感量测量装置 在电子制作和设计,经常会用到不同参数的电感线圈,这些线圈的电感量不像电阻那么容易测量,有些数字万用表虽有电感测量挡,但测量范围很有限。该电路以谐振方法测量电感值,测量下限可达10nH,测量范围很宽,能满足正常情况下的电感量测量,电路结构简单,工作可靠稳定,适合于爱好者制作。 一、电路工作原理 电路原理如图1(a)所示。 图1简单电感测量装置电路图 该电路的核心器件是集成压控振荡器芯片MC1648,利用其压控特性在输出3脚产生频 值,测量精度极高。 率信号,可间接测量待测电感L X BB809是变容二极管,图中电位器VR1对+15V进行分压,调节该电位器可获得不同的电压输出,该电压通过R1加到变容二极管BB809上可获得不同的电容量。测量被测电感L X 时,只需将L X接到图中A、B两点中,然后调节电位器VR1使电路谐振,在MC1648的3脚会输出一定频率的振荡信号,用频率计测量C点的频率值,就可通过计算得出L 值。 X 电路谐振频率:f0=1/2π所以L X=1/4π2f02C LxC 式中谐振频率f0即为MC1648的3脚输出频率值,C是电位器VR1调定的变容二极管的电容值,可见要计算L X的值还需先知道C值。为此需要对电位器VR1刻度与变容二极管的对应值作出校准。 为了校准变容二极管与电位器之间的电容量,我们要再自制一个标准的方形RF(射频)电感线圈L0。如图6—7(b)所示,该标准线圈电感量为0.44μH。校准时,将RF线圈L0接在图(a)的A、B两端,调节电位器VR1至不同的刻度位置,在C点可测量出相对应的测量值,再根据上面谐振公式可算出变容二极管在电位器VR1刻度盘不同刻度的电容量。附表给出了实测取样对应关系。 附表振荡频率(MHz)98766253433834

数字电路组合逻辑电路设计实验报告

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测出门电路的输出响应。动

态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。 测试电路如图3-2所示。试验中A、B输入高、低电平,由数字电路实验箱中逻辑电平产生电路产生,输入F可直接插至逻辑电平只是电路的某一路进行显示。

仿真示意 2.门电路的动态逻辑功能测试 动态测试用于数字系统运行中逻辑功能的检查,测试时,电路输入串行数字信号,用示波器比较输入与输出信号波形,以此来确定电路的功能。实验时,与非门输入端A加一频率为

数字电路及设计实验

常用数字仪表的使用 实验内容: 1.参考“仪器操作指南”之“DS1000操作演示”,熟悉示数字波器的使用。 2.测试示波器校正信号如下参数:(请注意该信号测试时将耦合方式设置为直流耦合。 峰峰值(Vpp),最大值(Vmax),最小值(Vmin), 幅值(Vamp),周期(Prd),频率(Freq) 顶端值(Vtop),底端值(Vbase),过冲(Overshoot), 预冲(Preshoot),平均值(Average),均方根值(Vrms),即有效值 上升时间(RiseTime),下降时间(FallTime),正脉宽(+Width), 负脉宽(-Width),正占空比(+Duty),负占空比(-Duty)等参数。 3.TTL输出高电平>2.4V,输出低电平<0.4V。在室温下,一般输出高电平是3.5V,输出低 电平是0.2V。最小输入高电平和低电平:输入高电平>=2.0V,输入低电平<=0.8V。 请采用函数信号发生器输出一个TTL信号,要求满足如下条件: ①输出高电平为3.5V,低电平为0V的一个方波信号; ②信号频率1000Hz; 在示波器上观测该信号并记录波形数据。

集成逻辑门测试(含4个实验项目) (本实验内容选作) 一、实验目的 (1)深刻理解集成逻辑门主要参数的含义和功能。 (2)熟悉TTL 与非门和CMOS 或非门主要参数的测试方法,并通过功能测试判断器件好坏。 二、实验设备与器件 本实验设备与器件分别是: 实验设备:自制数字实验平台、双踪示波器、直流稳压电源、数字频率计、数字万用表及工具; 实验器件:74LS20两片,CC4001一片,500Ω左右电阻和10k Ω左右电阻各一只。 三、实验项目 1.TTL 与非门逻辑功能测试 按表1-1的要求测74LS20逻辑功能,将测试结果填入与非门功能测试表中(测试F=1、0时,V OH 与V OL 的值)。 2.TTL 与非门直流参数的测试 测试时取电源电压V CC =5V ;注意电流表档次,所选量程应大于器件电参数规范值。 (1)导通电源电流I CCL 。测试条件:输入端均悬空,输出端空载。测试电路按图1-1(a )连接。 (2)低电平输入电流I iL 。测试条件:被测输入端通过电流表接地,其余输入端悬空,输出空载。测试电路按图1-1(b )连接。 (3)高电平输入电流I iH 。测试条件:被测输入端通过电流表接电源(电压V CC ),其余输入端均接地,输出空载。测试电路按图1-1(c )连接。 (4)电压传输特性。测试电路按图1-2连接。按表1-2所列各输入电压值逐点进行测量,各输入电压值通过调节电位器W 取得。将测试结果在表1-2中记录,并根据实测数据,做出电压传输特性曲线。然后,从曲线上读出V OH ,V OL ,V on ,V off 和V T ,并计算V NH ,V NL 等参数。 表1-1 与非门功能测试表

《数字电路实验讲义》word版

数字电路实验讲义 课题:实验一门电路逻辑功能及测试课型:验证性实验 教学目标:熟悉门电路逻辑功能,熟悉数字电路实验箱及示波器使用方法 重点:熟悉门电路逻辑功能。 难点:用与非门组成其它门电路 教学手段、方法:演示及讲授 实验仪器: 1、示波器; 2、实验用元器件 74LS00 二输入端四与非门 2 片 74LS20 四输入端双与非门 1 片 74LS86 二输入端四异或门 1 片 74LS04 六反相器 1 片 实验内容: 1、测试门电路逻辑功能 (1)选用双四输入与非门74LS20 一只,插入面包板(注意集成电路应摆正放平),按图1.1接线,输入端接S1~S4(实验箱左下角的逻辑电平开关的输出插口),输出端接实验箱上方的LED 电平指示二极管输入插口D1~D8 中的任意一个。 (2)将逻辑电平开关按表1.1 状态转换,测出输出逻辑状态值及电压值填表。

2、逻辑电路的逻辑关系 (1)用74LS00 双输入四与非门电路,按图1.2、图1.3 接线,将输入输出逻辑关系分别填入表1.2,表1.3 中。 (2)写出两个电路的逻辑表达式。 3、利用与非门控制输出 用一片74LS00 按图1.4 接线。S 分别接高、低电平开关,用示波器观察S 对输出脉冲的控制作用。 4、用与非门组成其它门电路并测试验证。 (1)组成或非门:

用一片二输入端四与非门组成或非门B = =,画出电路图,测试并填 + Y? A B A 表1.4。 (2)组成异或门: ①将异或门表达式转化为与非门表达式; ②画出逻辑电路图; ③测试并填表1.5。 5、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图1.5 接线,输入端1、2、4、5 接电平开关输出插口,输出端A、B、Y 接电平显示发光二极管。 (2)将电平开关按表1.6 的状态转换,将结果填入表中。

数字系统设计实验三

实验四简易数字钟下载 1、实验目的 1)学习掌握数字系统综合设计方法。 2)学习掌握层次设计方法。 3)学习掌握设计下载方法。 4)学习掌握实验系统使用方法。 2、实验原理 数字钟是对输入时基秒脉冲进行计数,依次输出秒数值、分数值、小时数值,从而确定时钟时间,其原理框图如下图所示。 Image 简易数字钟原理图 简易数字钟原理图 实际的数字钟设计中还需要增加年月日的功能,这里框图中

也省略了校时功能的结构。 3、实验内容 1)选择XC2S200PQ208器件建立一个新的工程。 2)在上述工程中,采用VHDL语言的方法设计上述简易数字钟。 3)参考实验系统使用说明,按下列要求锁定引脚。秒、分钟、小时由实验系统的J1、J2输出,显示输出的时分秒间隔一位数码管。时钟输入由J7的1脚输入。 4)下载编程并验证设计结果。 4、实验设备 1)清华同方PⅣ 2.4G\256M60G 2)ISE 6.2i—Windows软件系统 3)多功能EDA实验系统(V型) 5、实验步骤 1)写出简易数字钟的设计程序。 2)画出简易数字钟的仿真波形。 3)将程序下载到芯片中。 a.首先点击菜单Assignments->Device,选择Device family->Cyclone IV E,然后选择芯片型号Available device->EP4CE6F17C8。 b.进行管脚锁定,选择菜单Assignments->Pin Planner,在Location列下为输入\输出变量选择对应的管脚进行锁定。 c.选择快捷菜单进行编译Start Compilation,生成下载文件。 d.点击Tools->Programmer e.点击Add Device,在Device->Cyclone IVE中,选择Device

数字电路与逻辑设计实验总结报告

第二次实验是Quartus11原理图输入法设计,由于是第一次使用Quartus11软 件,实验中遇到了不少问题,总结起来主要有以下几个: (1)在创建工程并且编译通过之后得不到仿真波形 解决方法:经过仔细检查,发现在创建符号文件时,未对其重新命名,使得符号文件名与顶层文件的实体名一样。在改变符号文件名之后成功的得到了仿真波形。 (2)得到的仿真波形过于紧密不便于观察 解决方法:重新对仿真域的时间进行设定,并且对输入信号的周期做相应的调整,最终得到了疏密有致的仿真波形。 实验总结及心得体会 通过本次实验我初步掌握了Quartus11的使用方法,并且熟悉了电路板的使用。在实验具体操作的过程中,对理论知识(半加器和全加器)也有了更近一步的理解,真正达到了理论指导实践,实践检验理论的目的。 实验操作中应特别注意的几点: (1)刚开始创建工程时选择的目标芯片一定要与实验板上的芯片相对应。 (2)连接电路时要注意保证线与端口连接好,并且注意不要画到器件图形符号的虚线框里面。 (3)顶层文件的实体名只能有一个,而且注意符号文件不能与顶层文件的实体名相同。 (4)保存波形文件时,注意文件名必须与工程名一致,因为在多次为一个工程建立波形文件时,一定要注意保存时文件名要与工程名一致,否则不能得到正确的仿真结果。 (5)仿真时间区域的设定与输入波形周期的设定一定要协调,否则得到波形可能不便于观察或发生错误。 心得体会:刚接触使用一个新的软件,实验前一定要做好预习工作,在具体的实验操作过程中一定要细心,比如在引脚设定时一定要做到“对号入座”,曾经自己由于这一点没做好耗费了很多时间。实验中遇到的各种大小问题基本都是自己独立排查解决的,这对于自己独立解决问题的能力也是一个极大地提高和锻炼,总之这次实验我获益匪浅。 第三次实验是用VHDL语言设计组合逻辑电路和时序逻辑电路,由于Quartus11软件在之前已经使用过,所以本实验的主要任务就是编写与实验要求相对应的VHDL程序。 总体来说此次实验比较顺利,基本没有遇到什么问题,但有几点需要特别注意。首先是要区分实体名称和结构体名,这一点是程序编写的关键。其次在时序逻辑电路的设计实验中时钟的设置很关键,设置不当的话仿真波形可能不正确。 通过本次实验我初步学会用VHDL语言编写一些简单的程序,同时也进一步熟悉了Quartus11软件的使用。 实验八彩灯控制电路设计与实现 一、实验目的 1、进一步了解时序电路设计方法

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

数字电路模拟设计实验报告

数字电子设计 客房呼叫器 学院:通化师范学院 专业班级:物理系11级二班 小组成员:黄琳杰呼永建王继洋马一剑周建伟刘启宇郑伟清李楠楠 指导老师:李东康 时间:2013年12月3日

前言 物理实验是人们根据科学研究的目的,利用仪器设备人为地控制模拟自然现象,排除干扰突出主要因素,在有利条件下观察研究自然规律的活动。 因此,物理实验可以简化纯化研究对象和过程,可以强化研究条件,可以控制或再现和重复物理过程。物理实验有多种类型在本次试验设计中,我们采取模拟试验的方式来用数字电路设计餐厅客房服务器。 设计目的与要求 1.选用十个开关模拟某餐厅的十个包房,应用数字电路设计一个客房呼叫器。 2.用数码管显示呼叫服务的客房编号。 发挥部分:试想一下,若存在十个以上包房应如何设计。

总体框图 设计想法 1. 整体设计思路:根据对设计要求的分析,可以将整个呼叫器的逻辑电路划分为编码器,代码转换电路和数码显示电路三个部分。 2. 整体设计流程: a 编码器将客房给出的开关输入编成对应的二进制代码 b 代码转换电路将编码器输出的编码转换为七段显示译码器在显示时所要求的输入代码。 c 用一个数码管显示呼叫信号的号码。

选择器件 74ls147优先编码器管脚图和功能真值表 优先编码器是当多个输入端同时有信号时,电路只对其中优先级别最高的输入信号进行编码,常用的集成优先编码器IC有10线-4线,8线-3线两种。10线-4线优先编码器常见的型号为54/74LS147,54/74147, 3线-8线优先编码器常见的型号为54/74148,54/74LS148. 下面我们以74ls147为例介绍优先编码器功能如图一所示 第九个脚NC为空74ls147优先编码器有九个输入端和四个输出端,某个输入端为0,代表输入某个十进制数当九个输入端全为1时,代表输入的十进制数为0. 74ls147优先编码器的输入端和输出端都是低电平有效即某一个输入端低电平0时,四个输出端就以低电平0的输出其对应的8421 BCD编码,当九个输入全为1时,4个输出也全为1呆比啊输入十进制数0的8421 BCD编码输出。

相关文档