文档库 最新最全的文档下载
当前位置:文档库 › 计算机组成原理微程序设计

计算机组成原理微程序设计

计算机组成原理微程序设计
计算机组成原理微程序设计

1. 已知某机采用微程序控制方式,其存储器容量为512×48(位),微程序在整个控制存

储器中实现转移,可控制微程序的条件共4个,微指令采用水平型格式,后继微指令地址采用断定方式,如图所示:

(1) 微指令中的三个字段分别应多少位?

(2) 画出对应这种微指令格式的微程序控制器逻辑框图。 解:(1)假设判别测试字段中每一位为一个判别标志,那么由于有4个转移条件,故该字段

为4位,(如采用字段译码只需2位),下地址字段为9位,因为控制容量为512单元,微命令字段是( 48 – 4 - 9 )= 35 位。

(2)对应上述微指令格式的微程序控制器逻辑框图B1.2如下:其中微地址寄存器对应下

地址字段,P 字段即为判别测试字段,控制字段即为微命令子段,后两部分组成微指令寄存器。地址转移逻辑的输入是指令寄存器OP 码,各状态条件以及判别测试字段所给的判别标志(某一位为1),其输出修改微地址寄存器的适当位数,从而实现微程序的分支转移。

图B1.2

2.某计算机有8条微指令I 1—I 8,每条微指令所包含的微命令控制信号见下表,a —j 分别对应10种不同性质的微命令信号。假设一条微指令的控制字段仅限8位,请安排微指令的控制字段格式。

a*(b,c,d,e,f,g,h,j) b*(c,d,e,h) c*(d,e,g,h) d*(e,f,g,h) e*(g,,i) f*(g) g*(i) h*(j) 解:为了压缩指令字的长度,必须设法把一个微指令周期中的互斥性微命令信号组合在一个小组中,进行分组译码。经分析,(e ,f ,h )和(b, i, j )可分别组成两个小组或两个字段,然后进行译码,可得六个微命令信号,剩下的a, c, d, g 四个微命令信号可进行直接控制,其整个控制字段组成如下:

01 e 01 b 直接控制 10 f 10 i

4位 2位 2位

3.运算器结构如图B5.2所示,R 1 ,R 2,R 3 是三个寄存器,A 和B 是两个三选一的多路开关,通路的选择由AS 0 ,AS 1 和BS 0 ,BS 1端控制,例如BS 0BS 1 = 11时,选择R 3 ,BS 0BS 1 = 01时,选择R 1……,ALU 是算术 / 逻辑单元。S 1S 2为它的两个操作控制端。其功能如下:

图B5.2

S 1S 2 = 00时,ALU 输出 = A S 1S 2 = 01时,ALU 输出 = A + B

S 1S 2 = 10时,ALU 输出 = A – B S 1S 2 = 11时,ALU 输出 = A ⊕B 请设计控制运算器通路的微指令格式。

解:采用水平微指令格式,且直接控制方式,顺序控制字段假设4位,其中一位判别测试位:

当P = 0时,直接用μAR 1——μAR 3形成下一个微地址。

当P = 1时,对μAR 3进行修改后形成下一个微地址。

4.某机运算器框图如图B7.1所示,其中ALU 由通用函数发生器组成,M 1—M 3为多路开关,采用微程序控制,若用微指令对该运算器要求的所有控制信号进行微指令编码的格式设计,列出各控制字段的编码表。

图B7.1

解:当24个控制信号全部用微指令产生时,可采用字段译码法进行编码控制,采用的微指令格式如下(其中目地操作数字段与打入信号段可结合并公用,后者加上节拍脉冲控制即可)。

3位 3位 5位 3位 2位 目的操作数 源操作数 运算操作 直接控制 判别 下地址字段

5. 图B8.2给出了微程序控制的部分微指令序列,图中每一框代表一条微指令。分支点a

由指令寄存器I R5 ,I R6两位决定,分支点b 由条件码标志c 决定。现采用断定方式实现微程序的程序控制,已知微地址寄存器长度为8位,要求: (1)设计实现该微指令序列的微指令字顺序控制字段的格式。 (2)画出微地址转移逻辑图。

图B8.2 解:(1)已知微地址寄存器长度为8位,故推知控存容量为256单元。所给条件中微程序有

两处分支转移。如不考虑他分支转移,则需要判别测试位P 1 ,P 2(直接控制),故顺序控制字段共10位,其格式如下,A I 表示微地址寄存器:

8

(2)转移逻辑表达式如下:

A 8 = P 1·IR 6·T I A 7 = P 1·IR 5·T I A 6 = P 2·C 0·T I

其中T I 为节拍脉冲信号。在P 1条件下,当IR 6 = 1时,T I 脉冲到来时微地址寄存器的第8位A 8将置“1”,从而将该位由“0”修改为“1”。如果IR 6 = 0,则A 8的“0”状态保持不变,A 7,A 6 的修改也类似。

根据转移逻辑表达式,很容易画出转移逻辑电路图,可用触发器强制端实现。

6.假设某计算机的运算器框图如图B13.1所示,其中ALU 为16位的加法器(高电平工作),

S A 、S B 为16位锁存器,4个通用寄存器由D 触发器组成,O 端输出,其读写控制如下表所示:

要求:(1)设计微指令格式。

(2)画出ADD ,SUB 两条微指令程序流程图(不编码)。 解:(1)微指令格式如下:

各字段意义如下:F1—读RO —R3的选择控制。

F2—写RO —R3的选择控制。 F3—打入SA 的控制信号。 F4—打入SB 的控制信号。

F5—打开非反向三态门的控制信号。LDALU 。

F6—打开反向三态门的控制信号。LDALU ,并使加法器最低位加1 F7-清锁存器SB 位零的RESET 信号。

F8- 一段微程序结束,转入取机器指令的控制信号。 R — 寄存器读命令 W —寄存器写命令

(2) ADD ,SUB 两条微指令程序流程图如图B13.2所示

图B13.2

7已知MOV,ADD,COM,ADT四条指令微程序流图,已知P(1)的条件是指令寄存器OP字段,即IR0,IR1,P(2)的条件码是进位寄存器C J,请设计画出微程序控制器地址转移逻辑

图。

地址寄存器的最后两个触发器即可。在P(2)处微程序出现2路分支,对应两个微地址,

此时的测试条件是进位触发器C j 的状态。为此用C j 修改μA2即可。转移逻辑表达式如下:μA 0=P 1·T 4·IR 0,μA 1=P 1·T 4·IR 1, μA 2=P 2·T 4·C j 。由此可画出微地址转移逻辑。如图B16.2所示。

9某计算机的数据通路如图B19.1所示,其中M —主存, MBR —主存数据寄存器, MAR —主存地址寄存器, R0-R3—通用寄存器, IR —指令寄存器, PC —程序计数器(具有自增能力), C 、D--暂存器, ALU —算术逻辑单元(此处做加法器看待), 移位器—左移、右移、直通传送。所有双向箭头表示信息可以双向传送。 请按数据通路图画出“ADD (R1),(R2)+”指令的指令周期流程图。该指令的含义是两个数进行求和操作。其中源操作地址在寄存器R1中,目的操作数寻址方式为自增型寄存器间接寻址(先取地址后加1)。

图B19.1 解:“ADD (R1),(R2)+”指令是SS 型指令,两个操作数均在主存中。其中源操作数地址

在R1中,所以是R1间接寻址。目的操作数地址在R2中,由R2间接寻址,但R2的内容在取出操作数以后要加1进行修改。指令周期流程图如下:

M → I R 0000

图B19。3

计算机组成原理第六章答案

第6章 计算机的运算方法 2. 已知X=0.a1a2a3a4a5a6(ai 为0或1),讨论下列几种情况时ai 各取何值。 (1)2 1 X > (2)8 1X ≥ (3) 16 1 X 41> ≥ 解: (1)若要2 1 X > ,只要a1=1,a2~a6不全为0即可。 (2)若要8 1 X ≥,只要a1~a3不全为0即可。 (3)若要 16 1X 41>≥,只要a1=0,a2可任取0或1; 当a2=0时,若a3=0,则必须a4=1,且a5、a6不全为0; 若a3=1,则a4~a6可任取0或1; 当a2=1时, a3~a6均取0。 3. 设x 为整数,[x]补=1,x1x2x3x4x5,若要求 x < -16,试问 x1~x5 应取何值? 解:若要x < -16,需 x1=0,x2~x5 任意。(注:负数绝对值大的补码码值反而小。) 4. 设机器数字长为8位(含1位符号位在内),写出对应下列各真值的原码、补码和反码。 -13/64,29/128,100,-87 解:真值与不同机器码对应关系如下: 5. 已知[x]补,求[x]原和x 。 [x1]补=1.1100; [x2]补=1.1001; [x3]补=0.1110; [x4]补=1.0000; [x5]补=1,0101; [x6]补=1,1100; [x7]补=0,0111; [x8]补=1,0000; 解:[x]补与[x]原、x 的对应关系如下: 6. 设机器数字长为8位(含1位符号位在内),分整数和小数两种情况讨论真值x 为何值时,[x]补=[x]原成立。 解:当x 为小数时,若x ≥ 0,则 [x]补=[x]原成立; 若x < 0,当x= -1/2时,[x]补=[x]原=1.100 0000,则 [x]补=[x]原成立。 当x 为整数时,若x ≥0,则 [x]补=[x]原成立; 若x< 0,当x= -64时,[x]补=[x]原=1,100 0000,则 [x]补=[x]原成立。 7. 设x 为真值,x*为绝对值,说明[-x*]补=[-x]补能否成立。 解:当x 为真值,x*为绝对值时,[-x*]补=[-x]补不能成立。原因如下: (1)当x<0时,由于[-x*]补是一个负值,而[-x]补是一个正值,因此此时[-x*]补=[-x]补不成立; (2)当x ≥0时,由于-x*=-x ,因此此时 [-x*]补=[-x]补的结论成立。 8. 讨论若[x]补>[y]补,是否有x>y ?

计算机组成原理答辩论文

增加存储器宽度提高主存储器的性能 邹嘉欣 (哈尔滨理工大学软件学院) 摘要主存是存储层次中紧接着Cache下面的一个层次。主存是数据输入的目的地,也是数据输出的发源地,它既被用来满足Cache的请求,也被用作I/O接口。主存的性能主要用延迟和带宽来衡量。以往,Cache主要关心的是主存的延迟(它影响Cache的失效开销),而I/O则主要关心主存的带宽。随着第二级Cache的广泛使用,主存带宽对于Cache来说也变得重要了,这是因为第二级Cache的块大小较大的缘故。主存的性能指标主要看延迟和带宽。 关健词主存性能延迟带宽 To improve the performance of the main memory is a new idea Zou jiaxin (harbin university of science and technology software college) Abstract Memory is the memory hierarchy and a level below Cache. Memory is the destination of data input, is also the birthplace of output data, it can be used to satisfy the request of Cache, also can be used as I/O interface. The main performance is mainly used to measure the latency and bandwidth. In the past, Cache is primarily concerned with memory latency (failure overhead it affect Cache), while I/O is primarily concerned with the main memory bandwidth. With the wide use of second Cache, main memory bandwidth for Cache is also very important, this is because the second Cache block size larger. The performance index of main memory latency and bandwidth. Keywords memor cache delary blandwitch 0 引言 主存是计算机中重要的部件之一,它是与CPU进行沟通的桥梁。计算机中所有程序的运行都是在主存中进行的,因此内存的性能对计算机的影响非常大。主存(Memory)也被称为内存储器,其作用是用于暂时存放CPU中的运算数据,以及与硬盘等外部存储器交换的数据。只要计算机在运行中,CPU就会把需要运算的数据调到内存中进行运算,当运算完成后CPU再将结果传送出来,内存的运行也决定了计算机的稳定运行。主存如此重要,所以更快的存储速度1. 主存的主要性能指标:延迟和带宽2. 以往:Cache主要关心延迟,I/O主要关心带宽3.现在:Cache关心两者在下面的讨论中,我们以处理Cache失效为例来说明各种存储器组织结构的好处。在计算机的组成结构中,有一个很重要的部分,就是存储器。存储器是用来存储程序和数据的部件,对于计算机来说,有了存储器,才有记忆功能,才能保证正常工作。存储器的种类很多,按其用途可分为主存储器和辅助存储器,主存储器又称内纯初期(简称内存,港台称之为记忆体)。 内存又称主存,是cpu能直接存执的存储空间,由半导体器件制成。内存的特点是存取速率快。内存是计算机中的主要部件,它是相对于外存而言的。我们平常使用的程序,如windows操作系统、打字软件、游戏软件等,一般都是安装在硬盘等外存上的,但仅此是不能使用其功能的,必须把它们调入内存中运行,才能真正使用其功能,我们平时输入一段文字,或玩一个游戏,其实都是在内存中进行的。就好比在一个书房里,存放书籍的书架和书柜相当于电脑的外存,而我们工作的办公

天大2016年12月《计算机组成原理》期末大作业考核要求

计算机组成原理 要求: 1.独立完成,作答时要按照模版信息 ....填写完整,写明题型、题号; 2.作答方式:手写作答或电脑录入,使用学院统一模版(模版详见附件); 3.提交方式:以下两种方式任选其一, 1)手写作答的同学可以将作业以图片形式打包压缩上传; 2)提交电子文档的同学可以将作业以word文档格式上传; 4.上传文件命名为“中心-学号-姓名-科目.rar”或“中心-学号-姓名-科 目.doc”; 5.文件容量大小:不得超过10MB。 请在以下几组题目中,任选一组题目作答,满分100分。 第一组: 一、论述题(20分) 1、简述:一条指令通常由哪些部分组成?简述各部分的功能。 二、分析题(30分) 1、指令格式结构如下所示,使分析指令格式以及寻址方式特点。 15 10 9 5 4 0 1. 有一台磁盘机器,平均寻道时间为30ms,平均旋转等待时间为120ms,数据传输速率为500B/ms,磁盘机桑存放着1000件每件3000B的数据。现欲把一件数据取走,更新后放回原地,假设一次取出或写入所需时间为:平均寻道时间+平均等待时间+数据传送时间。另外,使用CPU更新信息所需时间为4ms,并且更新时间同输入输出操作不相重叠。试问:(20分)(1)更新磁盘上全部数据需要多少时间? (2)若磁盘以及旋转速度和数据传输率都提高一倍,更新全部数据需要多少时间?2、有一个具有20位地址和32位字长的存储器,问:(30分) (1)该存储器能存储多少个字节的信息? (2)如果存储器由512K×8位SRAM芯片组成,需要多少芯片? (3)需要多少位地址作为芯片选择?

第二组: 一、论述题(20分) 1、解释术语:总线周期。 二、分析题(30分) 1、CPU结构图如下图所示,其中有一个累加寄存器AC,各部分之间的连线表示数据通路,剪头表示信息传送方向。 (1)标明图中四个存储器的名称。 (2)简述指令从主存取到控制器的数据通路。 (3)简述数据在运算器和主存之间进行存/取访问的数据通路。 三、计算题(共50分) 1、已知某磁盘存储器转速为2400转/分,每个记录面道数为200道,平均查找时间为60ms,每道存储容量为96Kbit,求磁盘的存取时间与数据传播率。(20分) 2、今有4级流水线分别完成取值、指令译码并取数、运算、送结果四步操作,近假设完成各部操作的时间依次为100ns,100ns,80ns,50ns。请问:(30分) (1)流水线的操作周期应设计为多少? (2)若相邻两条指令发生数据相关,并且在硬件上不采取措施,那么第二条指令推迟多少时间进行? (3)若果在硬件设计上加以改进,至少推迟多少时间?

计算机组成原理课后习题答案解析

作业解答 第一章作业解答 1.1 基本的软件系统包括哪些内容? 答:基本的软件系统包括系统软件与应用软件两大类。 系统软件是一组保证计算机系统高效、正确运行的基础软件,通常作为系统资源提供给用户使用。包括:操作系统、语言处理程序、数据库管理系统、分布式软件系统、网络软件系统、各种服务程序等。 1.2 计算机硬件系统由哪些基本部件组成?它们的主要功能是什么? 答:计算机的硬件系统通常由输入设备、输出设备、运算器、存储器和控制器等五大部件组成。 输入设备的主要功能是将程序和数据以机器所能识别和接受的信息形式输入到计算机内。 输出设备的主要功能是将计算机处理的结果以人们所能接受的信息形式或其它系统所要求的信息形式输出。 存储器的主要功能是存储信息,用于存放程序和数据。 运算器的主要功能是对数据进行加工处理,完成算术运算和逻辑运算。 控制器的主要功能是按事先安排好的解题步骤,控制计算机各个部件有条不紊地自动工作。 1.3 冯·诺依曼计算机的基本思想是什么?什么叫存储程序方式? 答:冯·诺依曼计算机的基本思想包含三个方面: 1) 计算机由输入设备、输出设备、运算器、存储器和控制器五大部件组成。 2) 采用二进制形式表示数据和指令。 3) 采用存储程序方式。 存储程序是指在用计算机解题之前,事先编制好程序,并连同所需的数据预先存入主存储器中。在解题

过程(运行程序)中,由控制器按照事先编好并存入存储器中的程序自动地、连续地从存储器中依次取出指令并执行,直到获得所要求的结果为止。 1.4 早期计算机组织结构有什么特点?现代计算机结构为什么以存储器为中心? 答:早期计算机组织结构的特点是:以运算器为中心的,其它部件都通过运算器完成信息的传递。 随着微电子技术的进步,人们将运算器和控制器两个主要功能部件合二为一,集成到一个芯片里构成了微处理器。同时随着半导体存储器代替磁芯存储器,存储容量成倍地扩大,加上需要计算机处理、加工的信息量与日俱增,以运算器为中心的结构已不能满足计算机发展的需求,甚至会影响计算机的性能。为了适应发展的需要,现代计算机组织结构逐步转变为以存储器为中心。 1.5 什么叫总线?总线的主要特点是什么?采用总线有哪些好处? 答:总线是一组可为多个功能部件共享的公共信息传送线路。 总线的主要特点是共享总线的各个部件可同时接收总线上的信息,但必须分时使用总线发送信息,以保证总线上信息每时每刻都是唯一的、不至于冲突。 使用总线实现部件互连的好处: ①可以减少各个部件之间的连线数量,降低成本; ②便于系统构建、扩充系统性能、便于产品更新换代。 1.6 按其任务分,总线有哪几种类型?它们的主要作用是什么? 答:按总线完成的任务,可把总线分为:CPU内部总线、部件内总线、系统总线、外总线。 1.7 计算机的主要特点是什么? 答:计算机的主要特点有:①能自动连续地工作;②运算速度快;③运算精度高;④具有很强的存储能力

关于计算机组成原理的课程论文

关于计算机组成原理的课程论文 试谈中专计算机组成原理教法 摘要计算机组成原理是计算机专业的一门基础核心专业基础课程,在该专业的课程 体系中起着打地基的作用,学好这门课程对于提高学生的理论认知水平和实践能力有着极 为重要的作用。本文从用简单的问题切如枯燥的学习知识;把抽象的知识具体化;通过学生 讲解来验证教学效果,三个方面的方法来讲解如何教授中专计算机组成原理这门课程。 关键词问题简单化知识具体化 计算机组成原理的教学内容强调以计算机硬件部件和和整机系统知识为主的同时,还 必需适当兼顾与硬件关系最密切的基础软件知识的学习。那种把计算机组成原理完全作为 纯硬件课来处理,是陈旧的认识和过时的做法,不符合当今计算机研究和教育发展的潮流。学好这门课的目的是为了了解计算机的构成及基本工作原理。学生应能抽象出一个计算机 模型,在此基础上,理解计算机是如何工作的。 但是计算机组成原理这门课专业性很强,很抽象,也很枯燥。而现在的中专学生基础差,缺乏学习主动性,学习新知识时理解能力也相对比较薄弱。本文针对中专学生的这一 特征,提出了怎样教授计算机组成原理这门课程。利用一种以培养学生的理解、联系实际 能力为核心的教学模式,并就该模式下的课堂理论教学、课后练习与辅导、教学效果检验 等三个环节进行详细的阐述。 一、用简单的问题切如枯燥的学习知识 在讲解十进制数与二进制数之间相互转换这个问题时,我并没有直接讲转换算法,而 是先提问了一个学生们都认为很简单,但是又回答不正确的问题,来引起学生们的学习兴趣,我提问的问题是:计算机用的是直流电还是交流电,对此问题,我让同学们进行讨论,并说出你回答的答案的依据,几乎所有的学生都认为,计算机用的是交流电。依据是计算 机的电源插在220V的交流电源上。我就告诉学生们你们的答案都是错的,计算机用的是 直流电,学生们很好奇,就急于想听我讲出这个答案的依据,这样我就引进了二进制数的 概念,告诉学生,计算机中所有的数值、文字、符号、语音、图形、图像等等统为称数据,在计算机内部,都必须用数字化编码基二码二进制编码的形式被存储、加工和传送。 并且讲解了二进制码的基本点符号0和1。采用0和1的优点是:符号个数最少物理 上容易实现;与二值逻辑的真假两个值的对应简单;用二进制码表示数值数据运算规则简单。然而在我们的现实生活中所用的数值都是十进制数,那么接着就讲了十进制数如何转换成 二进制数。十进制数分为整数和小数。对于十进制的整数转换成二进制数的法则是:除以 2取余。对于十进制的小数转换成二进制的法则是:乘以2取整。相反二进制数如何转换

哈工大计算机组成大作业完整版

哈工大计算机组成大作业 哈工大计算机组成原理自主实验 计算机组成原理自主实验报告 第四章‐实验1 一个2114 存储芯片的实现 要求:外特性与2114 芯片一致(P77,图4.12),可以设计成为64*64 个存储单元的堆。 A0-A9:地址线 I/O:数据输入输出线 CS:片选信号 R/W:读写信号 VHDL代码: library IEEE;

use IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity shiyan41 is PORT(clk, we, cs,reset: in STD_LOGIC; data: inout STD_LOGIC_VECTOR(3 downto 0); adr: in STD_LOGIC_VECTOR(9 downto 0)); end shiyan41; architecture Behavioral of shiyan41 is typemem is array (63 downto 0) of STD_LOGIC_VECTOR(63 downto 0); signal data_in: STD_LOGIC_VECTOR(3 downto 0); signaldata_out: STD_LOGIC_VECTOR(3 downto 0); signalsram : mem; signalcs_s : std_logic; signalwe_s : std_logic; signaladdr_in_row: std_logic_vector(5 downto 0);

《计算机组成原理A》形考作业二答案

计算机组成原理A形成性考核作业二(参考答案) 一、选择题: 1.计算机硬件能直接识别和运行的只能是_______程序。 A.机器语言B.汇编语言C.高级语言D.VHDL 答:A 2.指令中用到的数据可以来自_______(可多选)。 A.通用寄存器B.微程序存储器C.输入输出接口D.指令寄存器 E. 内存单元 F. 磁盘 答:A、C、E 3.汇编语言要经过_______的翻译才能在计算机中执行。 A.编译程序B.数据库管理程序C.汇编程序D.文字处理程序 答:C 4.在设计指令操作码时要做到_______(可多选)。 A.能区别一套指令系统中的所有指令 B.能表明操作数的地址 C.长度随意确定 D.长度适当规范统一 答:A、B、D 5.控制器的功能是_______。 A.向计算机各部件提供控制信号B.执行语言翻译 C.支持汇编程序D.完成数据运算 答:A 6.从资源利用率和性能价格比考虑,指令流水线方案_______,多指令周期方案_______,单指令周期方案_______。 A.最好B.次之C.最不可取D.都差不多 答:A、B、C 二、判断题:判断下列说法是否正确,并说明理由。 1.变址寻址需要在指令中提供一个寄存器编号和一个数值。√ 2.计算机的指令越多,功能越强越好。× 3.程序计数器PC主要用于解决指令的执行次序。√ 4.微程序控制器的运行速度一般要比硬连线控制器更快。× 三、简答题: 1.一条指令通常由哪两个部分组成?指令的操作码一般有哪几种组织方式?各自应用在什么场合?各自的优缺点是什么? 答:一条指令通常由操作码和操作数两个部分组成。 指令的操作码一般有定长的操作码、变长的操作码两种组织方式。 定长操作码的组织方式应用在当前多数的计算机中;变长的操作码组织方式一般用在小型及以上的计算机当中。 定长操作码的组织方式对于简化计算机硬件设计,提高指令译码和识别速度有利。 变长的操作码组织方式可以在比较短的指令字中,既能表示出比较多的指令条数,又能尽量满足给出相应的操作数地址的要求。 2.如何在指令中表示操作数的地址?通常使用哪些基本寻址方式? 答:是通过寻址方式来表示操作数的地址。 通常使用的基本寻址方式有:立即数寻址、直接寻址、寄存器寻址、寄存器间接寻址、变址寻址、相对寻址、间接寻址、堆栈寻址等。

计算机组成原理第六章答案上课讲义

计算机组成原理第六 章答案

1. 写出下列各数的原码、反码、补码、移码(用8位二进制表示),其中MSB是最高位(符号位),LSB是最低位。如果是小数,则小数点在MSB之后;如果是整数,则小数点在LSB之后。 (1)-59/64 (2)27/128 (3)- 127/128 (4)用小数表示-1 (5)用整数表示-1 (6)- 127 (7)35 (8)-128 2. 设[x]补=x0.x1x2x3x4,其中x i取0或1,若要使x>-0.5,则x0、x1、x2、x3、x4的取值应满足什么条件? 3. 若32位定点小数的最高位为符号位,用补码表示,则所能表示的最大正数为,最小正数为,最大负数为,最小负数为;若32位定点整数的最高位为符号位,用原码表示,则所能表示的最大正数为,最小正数为,最大负数 为,最小负数为。 4. 若机器字长为32位,在浮点数据表示时阶符占1位,阶码值占7位,数符占1位,尾数值占23位,阶码用移码表示,尾数用原码表示,则该浮点数格式所能表示的最大正数为,最小正数为,最大负数 为,最小负数为。 5. 某机浮点数字长为18位,格式如图2.35所示,已知阶码(含阶符)用补码表示,尾数(含数符)用原码表示。 (1)将(-1027)10表示成规格化浮点数; (2)浮点数(0EF43)16是否是规格化浮点数?它所表示的真值是多少? 图2.35 浮点数的表示格式 6. 有一个字长为32位的浮点数,格式如图2.36所示,已知数符占1位;阶码占8位,用移码表示;尾数值占23位,尾数用补码表示。

图2.36 浮点数的表示格式 请写出: (1)所能表示的最大正数; (2)所能表示的最小负数; (3)规格化数所能表示的数的范围。 7. 若浮点数x的IEEE754标准的32位存储格式为(8FEFC000)16,求其浮点数的十进制数值。 8. 将数(-7.28125)10转换成IEEE754标准的32位浮点数的二进制存储格式。 9. 已知x=-0.x1x2…x n,求证:[x]补=+0.00…01。 10. 已知[x]补=1.x1x2x3x4x5x6,求证:[x]原=+0.000001。 11. 已知x和y,用变形补码计算x+y,同时指出运算结果是否发生溢出。 (1)x=0.11011 y=-0.10101 (2)x=-10110 y=-00011 12. 已知x和y,用变形补码计算x-y,同时指出运算结果是否发生溢出。 (1)x=0.10111 y=0.11011 (2)x=11011 y=-10011 13. 已知[x]补=1.1011000,[y]补=1.0100110,用变形补码计算2[x]补 +1/2[y]补=?,同时指出结果是否发生溢出。 14. 已知x和y,用原码运算规则计算x+y,同时指出运算结果是否发生溢出。 (1)x=0.1011,y=-0.1110 (2)x=-1101,y=-1010

计算机组成原理论文cpu

计算机组成原理论文 CPU运行原理 学生姓名:李维隆 学生学号:200925503223 班级院系:计算机学院软件工程计093-2 指导老师:潘庆先

中央处理器(英语:Central Processing Unit,CPU),是电子计算机的主要设备之一。其功能主要是解释计算机指令以及处理计算机软件中的数据。所谓计算机的可编程性主要是指对CPU的编程。CPU、内部存储器和输入/输出设备是现代电脑的三大核心部件。由集成电路制造的CPU,20世纪70年代以前,本来是由多个独立单元构成,后来发展出微处理器CPU复杂的电路可以做成单一微小功能强大的单元。 “中央处理器”这个名称,笼统地说,是对一系列可以执行复杂的计算机程序的逻辑机器的描述。这个空泛的定义很容易地将在“CPU”这个名称被普遍使用,之前的早期计算机也包括在内。无论如何,至少从20世纪60年代早期开始(Weik 1961),这个名称及其缩写已开始在电子计算机产业中得到广泛应用。尽管与早期相比,“中央处理器”在物理形态、设计制造和具体任务的执行上有了戏剧性的发展,但是其基本的操作原理一直没有改变。 早期的中央处理器通常是为大型及特定应用的计算机而定制。但是,这种昂贵的为特定应用定制CPU的方法很大程度上已经让位于开发便宜、标准化、适用于一个或多个目的的处理器类。这个标准化趋势始于由单个晶体管组成的大型机和微机年代,随着集成电路的出现而加速。IC使得更为复杂的CPU可以在很小的空间中设计和制造(在微米的量级)。CPU 的标准化和小型化都使得这一类数字设备(港译-电子零件)在现代生活中的出现频率远远超过有限应用专用的计算机。现代微处理器出现在包括从汽车到手机到儿童玩具在内的各种物品中。 CPU的主要运作原理,不论其外观,都是执行储存于被称为程序里的一系列指令。在此讨论的是遵循普遍的冯·诺伊曼结构(von Neumann architecture)设计的装置。程序以一系列数字储存在计算机存储器中。差不多所有的冯·诺伊曼CPU的运作原理可分为四个阶段:提取、解码、执行和写回。 第一阶段,提取,从程序存储器中检索指令(为数值或一系列数值)。由程序计数器指定程序存储器的位置,程序计数器保存供识别目前程序位置的数值。换言之,程序计数器记录了CPU在目前程序里的踪迹。提取指令之后,PC根据指令式长度增加存储器单元[iwordlength]。指令的提取常常必须从相对较慢的存储器查找,导致CPU等候指令的送入。这个问题主要被论及在现代处理器的高速缓存和管线化架构。 CPU根据从存储器提取到的指令来决定其执行行为。在解码阶段,指令被拆解为有意义的片断。根据CPU的指令集架构(ISA)定义将数值解译为指令[isa]。一部分的指令数值为运算码,其指示要进行哪些运算。其它的数值通常供给指令必要的信息,诸如一个加法运算的运算目标。这样的运算目标也许提供一个常数值(即立即值),或是一个空间的寻址值:暂存器或存储器地址,以寻址模式决定。在旧的设计中,CPU里的指令解码部分是无法改变的硬体装置。不过在众多抽象且复杂的CPU和ISA中,一个微程序时常用来帮助转换指令为各种形态的讯号。这些微程序在已成品的CPU中往往可以重写,方便变更解码指令。 在提取和解码阶段之后,接着进入执行阶段。该阶段中,连接到各种能够进行所需运算的CPU部件。例如,要求一个加法运算,算术逻辑单元将会连接到一组输入和一组输出。输入提供了要相加的数值,而且在输出将含有总和结果。ALU内含电路系统,以于输出端完成简单的普通运算和逻辑运算(比如加法和位运算)。如果加法运算产生一个对该CPU处理而言过大的结果,在标志暂存器里,溢出标志可能会被设置。 最终阶段,写回,以一定格式将执行阶段的结果简单的写回。运算结果极常被写进CPU 内部的暂存器,以供随后指令快速访问。在其它案例中,运算结果可能写进速度较慢,但容量较大且较便宜的主存。某些类型的指令会操作程序计数器,而不直接产生结果数据。这些一般称作“跳转”并在程序中带来循环行为、条件性执行(透过条件跳转)和函数[jumps]。许多指令也会改变标志暂存器的状态位。这些标志可用来影响程序行为,缘由于它们时常显出各种运算结果。例如,以一个“比较”指令判断两个值的大小,根据比较结果在标志暂存

[0013]计算机组成原理 答案

- 1 - 西南大学培训与继续教育学院课程考试试题卷 学期:2020年秋季 课程名称【编号】: 计算机组成原理 【0013】 A 卷 考试类别:大作业 满分:100 分 一、大作业题目 1. 已知:x= 0.1011,y = - 0.0101,求 :[ 21x]补,[ 41 x]补,[ - x ]补,[21y]补,[4 1 y]补,[ - y ]补 1. 解: [ x ]补du = 0.1011 , [ y ]补 = 1.1011 [1/2 x ]补 = 0.01011 , [1/2y]补 = 1.11011 [1/4 x ]补 = 0.001011 ,[ 1/4 y ]补 = 1.111011 [ - x ]补 = 1.0101 , [ - y ]补 =0.0101 2.指令有哪些寻址方式?设指令格式如下所示,其中OP 为操作码,试分析指令格式特点。 18 12 11 10 9 5 4 0 OP ---------- 源寄存器 目标寄存器 3.动态存储器为什么要刷新?刷新有哪些方法?完成由2K ×4位芯片构成4K ×8位存储器连接图。 动态MOS 存储单元存储信息的原理,是利用MOS 管栅极电容具有暂时存储信息的作用。但由于漏 电流的存在,栅极电容上存储的电荷不可能长久保持不变,因此为了及时补充漏掉的电荷,避免存储信息丢失,需要定时地给栅极电容补充电荷,通常把这种操作称作刷新或再生。 常用的刷新方式有三种,一种是集中式,另一种是分散式,第三种是异步式。 集中式刷新:在整个刷新间隔内,前一段时间重复进行读/写周期或维持周期,等到需要进行刷新操作时,便暂停读/写或维持周期,而逐行刷新整个存储器,它适用于高速存储器。 分散式刷新:把一个存储系统周期t c 分为两半,周期前半段时间t m 用来读/写操作或维持信息,周期后半段时间t r 作为刷新操作时间。这样,每经过128个系统周期时间,整个存储器便全部刷新一遍。 异步式刷新:前两种方式的结合 芯片数=总容量/容量=4k*8÷2k*4=4片。将每四块分为一组,形成32位的数据宽度,根据该储存容量大小一共需要16位地址线(可以根版据储存容量除以数据宽度来确定)。 将32K*8芯片组成128K*16的只读度器,所以首先位扩展将数据线8扩展到16,即D0~D15,然问后字 扩展32K 是15条地址线,128是17条地址线,所以要答用2/4译码器将地址线15扩展到17,需要用到的芯片是(128/32)*(16/8)=8,连接如图所示!红色为A0~A14的地址总线。 4. 今有4级流水线分别完成取值、指令译码并取数、运算、送结果四步操作,今假设完成各步操作的时间依次为100ns,100ns,80ns,50ns 。请问:(1)流水线的操作周期应设计为多少?(2)若相邻两条加减法指令发生数据相关(ADD :R1,R2,R3 ;R2+R3->R1与SUB :R4,R1,R5;R1-R5->R4),而且在硬件上不采取措施,那么第二条指令要推迟多少时间进行。(3)如果在硬件设计上加以改进,至少需推迟多少时间? 5.什么是中断?什么是多重中断?假定硬件原来的响应顺序为0→1→2,试设置中断屏蔽字,将中断优先级改为1→2→0。(注:中断允许用“0”表示,中断禁示“1”表示)。 二、大作业要求 大作业共需要完成三道题: 第1-2题选作一题,满分35分;

计算机组成原理第二版课后习题答案

第1章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解: 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 2. 如何理解计算机的层次结构? 答:计算机硬件、系统软件和应用软件构成了计算机系统的三个层次结构。 (1)硬件系统是最内层的,它是整个计算机系统的基础和核心。 (2)系统软件在硬件之外,为用户提供一个基本操作界面。 (3)应用软件在最外层,为用户提供解决具体问题的应用系统界面。 通常将硬件系统之外的其余层称为虚拟机。各层次之间关系密切,上层是下层的扩展,下层是上层的基础,各层次的划分不是绝对的。 3. 说明高级语言、汇编语言和机器语言的差别及其联系。 答:机器语言是计算机硬件能够直接识别的语言,汇编语言是机器语

言的符号表示,高级语言是面向算法的语言。高级语言编写的程序(源程序)处于最高层,必须翻译成汇编语言,再由汇编程序汇编成机器语言(目标程序)之后才能被执行。 4. 如何理解计算机组成和计算机体系结构? 答:计算机体系结构是指那些能够被程序员所见到的计算机系统的属性,如指令系统、数据类型、寻址技术组成及I/O机理等。计算机组成是指如何实现计算机体系结构所体现的属性,包含对程序员透明的硬件细节,如组成计算机系统的各个功能部件的结构和功能,及相互连接方法等。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ●计算机由运算器、控制器、存储器、输入设备、输出设备五大 部件组成; ●指令和数据以同同等地位存放于存储器内,并可以按地址访 问; ●指令和数据均用二进制表示; ●指令由操作码、地址码两大部分组成,操作码用来表示操作的 性质,地址码用来表示操作数在存储器中的位置; ●指令在存储器中顺序存放,通常自动顺序取出执行; ●机器以运算器为中心(原始冯?诺依曼机)。

计算机组成原理第六章答案54731培训资料

计算机组成原理第六章答案54731

第6章 计算机的运算方法 2. 已知X=0.a1a2a3a4a5a6(ai 为0或1),讨论下列几种情况时ai 各取何值。 (1)21X > (2)8 1X ≥ (3) 16 1X 41>≥ 解: (1)若要2 1 X > ,只要a1=1,a2~a6不全为0即可。 (2)若要8 1 X ≥,只要a1~a3不全为0即可。 (3)若要 16 1X 41>≥,只要a1=0,a2可任取0或1; 当a2=0时,若a3=0,则必须a4=1,且a5、a6不全为0; 若a3=1,则a4~a6可任取0或1; 当a2=1时, a3~a6均取0。 3. 设x 为整数,[x]补=1,x1x2x3x4x5,若要求 x < -16,试问 x1~x5 应取何值? 解:若要x < -16,需 x1=0,x2~x5 任意。(注:负数绝对值大的补码码值反而小。) 4. 设机器数字长为8位(含1位符号位在内),写出对应下列各真值的原码、补码和反码。 -13/64,29/128,100,-87 解:真值与不同机器码对应关系如下: 5. 已知[x]补,求[x]原和x 。 [x1]补=1.1100; [x2]补=1.1001; [x3]补=0.1110; [x4]补=1.0000; [x5]补=1,0101; [x6]补=1,1100; [x7]补=0,0111; [x8]补=1,0000; 解:[x]补与[x]原、x 的对应关系如下: 6. 设机器数字长为8位(含1位符号位在内),分整数和小数两种情况讨论真值x 为何值时,[x]补=[x]原成立。 解:当x 为小数时,若x ≥ 0,则 [x]补=[x]原成立; 若x < 0,当x= -1/2时,[x]补=[x]原=1.100 0000,则 [x]补=[x]原成立。 当x 为整数时,若x ≥0,则 [x]补=[x]原成立; 若x< 0,当x= -64时,[x]补=[x]原=1,100 0000,则 [x]补=[x]原成立。 7. 设x 为真值,x*为绝对值,说明[-x*]补=[-x]补能否成立。 解:当x 为真值,x*为绝对值时,[-x*]补=[-x]补不能成立。原因如下: (1)当x<0时,由于[-x*]补是一个负值,而[-x]补是一个正值,因此此时[-x*]补=[-x]补不成立; (2)当x ≥0时,由于-x*=-x ,因此此时 [-x*]补=[-x]补的结论成立。 8. 讨论若[x]补>[y]补,是否有x>y ? 解:若[x]补>[y]补,不一定有x>y 。 [x]补 > [y]补时 x > y 的结论只在 x > 0且y > 0,及 x<0且y<0时成立。

计算机组成原理论文(学校交作业时候可以用)

《计算机组成原理》论文 --基于专业规范的 “计算机组成原理”课程改革 指导教师 XXX 作者X X X 学号 20084XXXXX 院系/年级师范学院2008级XXXX系

基于专业规范的“计算机组成原理”课程改革 摘要:以教育部计算机科学与技术专业教学指导委员会的专业规范为指导,针对计算机组成原理课程的特点,从以专业规范为基础优化教学内容、改进教学方法和丰富教学手段等方面进行了探讨和实践。分析实验教学现状,指出存在的问题,提出通过改编实验设计,加强实验教学过程指导,提高实验教学效果。以专业规范为指导,从理论教学和实验教学两方面为“计算机组成原理”课程改革提出了新的建议。 关键词:专业规范;计算机组成原理;课程改革;理论教学;实验教学 随着计算机和通信技术的蓬勃发展,中国开始进入信息化时代,计算机及技术的应用更加广泛深入,计算机学科传统的专业优势已经不再存在。社会和应用对学生在计算机领域的知识与能力提出了新的要求。专家们指出,未来10~15 年是我国信息技术发展的窗口期、关键期。为此,高等学校肩负着为国家发展和满足社会需求培养多类型人才的重任。在这样的背景下,高校必须正视问题,积极思索与变革,重新审视计算机专业教育的发展方向,与时俱进地推进计算机专业教育改革。 《计算机组成原理》是计算机科学与技术专业必修的一门专业主干课程。课程要求掌握计算机系统各部件的组成和工作原理、相互联系和作用,最终达到从系统、整机的角度理解计算机的结构与组成,并为后续课程的学习奠定基础。但从整个学科的建设和发展,以及对学生专业素质培养的角度来看,这样的要求是不够的。更为重要的是,通过教与学,还应当提高学生对计算机硬件系统的认知能力和设计能力,强化实践意识与能力,培养创新理念与能力,激发学生自主学习、主动探索前沿知识。教育部计算机科学与技术专业教学指导委员会在2006 年发布了计算机科学与技术本科专业战略报告和专业规范,对计算机专业的发展与教学提出了指导意见。本文探讨以专业规范为指导对计算机组成原理课程进行改革,研究并实践一种有效的教学模式,帮助学生从微观层面掌握本课程知识单元,从宏观层面建立该课程知识体系,使学生准确把握课程的核心内容,全面地构建整机系统,进而培养学生的专业素养和综合能力。 1 计算机科学与技术专业规范 教育部高等学校计算机科学与技术专业教学指导委员会(以下简称教指委)在广泛深入的调查研究基础上,借鉴国际上计算机专业办学的发展与现状,结合我国计算机教育的实际情况,对计算机专业本科教育的发展方向和办学单位的专业发展提出了指导性意见,并制定了具体的《专业规范》。 教指委在计算机科学与技术专业发展战略研究报告和专业规范中提出了以“培养规格分类”为核心思想的计算机专业发展建议,将计算机学科分为三种类型四个方向,即:科学型(计算机科学方向CS)、工程型(包括计算机工程方向CE 和软件工程方向SE)、应用型(信息技术方向IT)[1]。针对每个类型的每个方向的特点和要求,专业规范从学科方法论、培养目标与规格、教育内容和知识体系等方面进行了详细的规划,提出了富有建设性的指导意见。 专业规范中明确指出,“计算机组成基础”是计算机科学方向和计算机工程方向的核心

《计算机组成原理》第6章习题答案

第 6 章习题答案 1 .控制器有哪几种控制方式?各有何特点? 解:控制器的控制方式可以分为3 种:同步控制方式、异步控制方式和联合控方式。同步控制方式的各项操作都由统一的时序信号控制,在每个机器周期中产生统一目的节拍电位和工作脉冲。这种控制方式设计简单,容易实现;但是对于许多简单指令说会有较多的空闲时间,造成较大数量的时间浪费,从而影响了指令的执行速度。 异步控制方式的各项操作不采用统一的时序信号控制,而根据指令或部件的具体况决定,需要多少时间,就占用多少时间。异步控制方式没有时间上的浪费,因而提高机器的效率,但是控制比较复杂。 联合控制方式是同步控制和异步控制相结合的方式。 2.什么是三级时序系统? 解:三级时序系统是指机器周期、节拍和工作脉冲。计算机中每个指令周期划分若干个机器周期,每个机器周期划分为若干个节拍,每个节拍中设置一个或几个工脉冲。3.控制器有哪些基本功能?它可分为哪几类?分类的依据是什么? 解:控制器的基本功能有: (1) 从主存中取出一条指令,并指出下一条指令在主存中的位置。 (2) 对指令进行译码或测试,产生相应的操作控制信号,以便启动规定的动作。 (3) 指挥并控制CPU主存和输入输出设备之间的数据流动。 控制器可分为组合逻辑型、存储逻辑型、组合逻辑与存储逻辑结合型 3 类,分类的依据在于控制器的核心一一微操作信号发生器(控制单元CU)的实现方法不同。 4 .中央处理器有哪些功能?它由哪些基本部件所组成? 解:从程序运行的角度来看,CPU的基本功能就是对指令流和数据流在时间与空间上实施正确的控制。对于冯?诺依曼结构的计算机而言,数据流是根据指令流的操作而形成的,也就是说数据流是由指令流来驱动的。 中央处理器由运算器和控制器组成。 5 .中央处理器中有哪几个主要寄存器?试说明它们的结构和功能。 解:CPU中的寄存器是用来暂时保存运算和控制过程中的中间结果、最终结果及控制、状态信息的,它可分为通用寄存器和专用寄存器两大类。 通用寄存器可用来存放原始数据和运算结果,有的还可以作为变址寄存器、计数器、地址指针等。专用寄存器是专门用来完成某一种特殊功能的寄存器,如程序计数器PC指令 寄存器IR、存储器地址寄存器MAR存储器数据寄存器MDR状态标志寄存器PSWF等。 15 、什么是微命令和微操作?什么是微指令?微程序和机器指令有何关系?微程序和程序之间有何关系? 解:微命令是控制计算机各部件完成某个基本微操作的命令。微操作是指计算机中最基本的、不可再分解的操作。微命令和微操作是一一对应的,微命令是微操作的控制信号,微操作是微命令的操作过程。 微指令是若干个微命令的集合。微程序是机器指令的实时解释器,每一条机器指令都对应一个微程序。 微程序和程序是两个不同的概念。微程序是由微指令组成的,用于描述机器指令,实际上是机器指令的实时解释器,微程序是由计算机的设计者事先编制好并存放在控制储器中的,一般不提供给用户;程序是由机器指令组成的,由程序员事先编制好并存放在主存储器中。 16.什么是垂直型微指令?什么是水平型微指令?它们各有什么特点? 解:垂直型微指令是指一次只能执行一个微命令的微指令;水平型微指令是指一次能定义并能

计算机组成原理课程论文

计算机组成原理课程总结网工一班王金龙学号:1104031012 一.计算机系统概述 从体系结构上来看,有多种不同类型的计算机,那么这些不同的计算机谁好谁坏?如何评价?所以,还需要我们了解计算机性能评价指标和相关参数,包括吞吐量、响应时间;CPU时钟周期、主频、CPI、CPU执行时间;MIPS、MFLOPS等。学习完整本书对书中的主要知识点有了大概的认识,简单的概括可以将整本书分为以下几个部分: (1).数据的表示和运算 数据表示这部分要掌握进位进数制及相互转换的方法、真值和机器数的各种表示等。定点数的运算方面要掌握位移运算、加/减运算、乘/除运算、溢出概念和判别方法。浮点数要掌握浮点数的表示及加/减运算。 (2).存储器 这部分在复习时要建立起计算机存储系统的整体概念,计算机存储系统可以看成是Cache-内存-外存三级结构,大家要掌握存储器的分类及各类存储器的工作原理。复习的重点是高速缓冲存储器Cache和虚拟存储器。 (3).指令系统 在指令系统知识点中,我们要掌握指令的格式(包括指令的基本格式、定长操作码指令格式、扩展操作码指令格式)和各种寻址方式,还要能够区分数据寻址和指令寻址的区别。另外一个就是CISC(复杂指令系统计算机)和RISC(精简指令系统计算机),我们要掌握它们的基本概念、特征,以及它们之间的主要区别。 (4).中央处理器 这部分要掌握CPU功能、基本结构、工作原理等。在微程序控制器考点中,今年新增加了对微命令格式的考查。 (5).总线 总线就是一组进行互连和传输信息(指令、数据和地址)的信号线,我们要掌握总线的基本概念,总线的分类,以及总线的组成和性能指标(例如,各类总线的宽度会影响哪些部件的性能等)。其次,就是要掌握总线仲裁方法(包括集中仲裁方式和分布仲裁方式)和总线操作和定时(包括同步定时方式和异步定时方式)。最后,就是要对总线的标准有所了解,总线标准主要规定总线的机械结构规范、功能结构规范和电气规范。 (6).输入输出系统 这部分要了解常见的输入输出设备,它们的工作原理及性能指标。还要掌握I/O功能、基本结构、编址方式等。

计算机组成原理大作业定稿版

计算机组成原理大作业 HUA system office room 【HUA16H-TTMS2A-HUAS8Q8-HUAH1688】

计算机组成原理 大作业 院(系):物联网工程学院 专业: 计算机科学与技术 班级: 学号: 姓名: 摘要 1.计算机硬件系统:到目前为止,计算机仍沿用由冯.诺依曼首先提出的基于总线的计算机硬件系统。其基本设计思想为: a.以二进制形式表示指令和数据。 b.程序和数据事先存放在存储器中,计算机在工作时能够高速地从存储器中取出指令加以执行。 c.由运算器、控制器、存储器、输入设备和输出设备等五大部件组成计算机硬件系统。 2.计算机软件系统:所谓软件,就是为了管理、维护计算机以及为完成用户的某种特定任务而编写的各种程序的总和。计算机的工作就是运行程序,通过逐条的从存储器中取

出程序中的指令并执行指令所规定的操作而实现某种特定的功能。微型计算机的软件包括系统软件和用户(应用)软件。 关键词:计算机系统硬件存储器控制器运算器软件 目录 摘要 (2) 第一章总体设计 (4) 问题描述 (4) 实验环境 (4) 软件介绍 (4) 模块介绍 (4) 实验目的 (5) 实验内容 (5) 第二章原理图 (6) 第三章管脚分配 (7) 第四章微程序设计 (8) 1. alu_74181 (8)

2. romc (9) 第一章总体设计 问题描述 从两个reg_74244中分别取出两数经过总线,各自分别到达两个寄存器reg_74373,再由两个寄存器到达运算器alu_74181,在运算器里经过运算得出结果,结果再由总线传输进入另外的一个寄存器reg_74373,输出。 实验环境 软件介绍 ISE的全称为Integrated Software Environment,即“集成软件环境”,是Xilinx公司的硬件设计工具。它可以完成FPGA开发的全部流程,包括设计输入、仿真、综合、布局布线、生成BIT文件、配置以及在线调试等,功能非常强大。ISE除了功能完整,使用方便外,它的设计性能也非常好,拿ISE 9.x来说,其设计性能比其他解决方案平均快30%,它集成的时序收敛流程整合了增强性物理综合优化,提供最佳的时钟布局、更好的封装和时序收敛映射,从而获得更高的设计性能。 模块介绍 微程序控制器 微程序控制器是一种控制器,同组合逻辑控制器相比较,具有规整性、灵活性、可维护性等一系列优点,因而在计算机设计中逐渐取代了早期采用的组合逻辑控制器,并已被

相关文档
相关文档 最新文档