文档库 最新最全的文档下载
当前位置:文档库 › 程控宽带放大器

程控宽带放大器

程控宽带放大器
程控宽带放大器

1芯片介绍

本次课程设计主要用到AT89C52,AD603,DAC8032三种芯片。

1.1 AT89C52简介

单片机集成度高、功能强、可靠性高、体积小、功耗地、使用方便、价格低廉等一系列优点,目前已经渗入到人们工作和生活的方方面面,几乎“无处不在,无所不为”。单片机的应用领域已从面向工业控制、通讯、交通、智能仪表等迅速发展到家用消费产品、办公自动化、汽车电子、PC机外围以及网络通讯等广大领域。

单片机有两种基本结构形式:一种是在通用微型计算机中广泛采用的,将程序存储器和数据存储器合用一个存储器空间的结构,称为普林斯顿结构。另一种是将程序存储器和数据存储器截然分开,分别寻址的结构,一般需要较大的程序存储器,目前的单片机以采用程序存储器和数据存储器截然分开的结构为多。本课题讨论的方波发生器的核心是目前应用极为广泛的 51系列单片机。

单片机最小系统是能补足单片机工作的最简单电路,它由单片机、电源、晶体振荡器、复位电路等构成。它是本系统的处理单元也是控制单元,负责处理信号、外设的接口与控制,同时它也是所有软件的载体。

本系统采用AT89C52是美国Atmel公司生产的低电压、高性能CMOS 8位单片机,片内含8KB的可反复檫写的程序存储器和12B的随机存取数据存储器(RAM),器件采用Atmel公司的高密度、非易失性存储技术生产,兼容标准MCS-51指令系统,片内配置通用8位中央处理器(CPU)和Flash存储单元,功能强大的AT89C52单片机可灵活应用于各种控制领域。AT89C52单片机属于

AT89C51单片机的增强型,与Intel公司的80C52在引脚排列、硬件组成、工作特点和指令系统等方面兼容。

主要管脚有:

XTAL1(19 脚)和XTAL2(18 脚)为振荡器输入输出端口,外接12MHz 晶振。

RST/Vpd(9 脚)为复位输入端口,外接电阻电容组成的复位电路。

VCC(40 脚)和VSS(20 脚)为供电端口,分别接+5V电源的正负端。

P0~P3 为可编程通用I/O 脚,其功能用途由软件定义。

其管脚如下图1所示:

图1 AT89C52单片机管脚图

本设计中,P0 端口(32~39 脚)被定义为N1 功能控制端口,分别与N1的相应功能管脚相连接。单片机正常工作时,都需要有一个时钟电路和一个复位电路。本设计中选择了内部时钟方式和按键电平复位电路,来构成单片机的最小电路。

1.2 AD603简介

AD603是美国AD公司推出的一款宽频带、低噪声、低畸变、增益变化范围连续可调的可控增益放大器,其内部结构如图2所示。

图2 AD603内部结构图

AD603的封装引脚及各引脚功能分别如图5和表1所示。

图3 AD603引脚图

表1 AD603各引脚功能

脚号符号功能

1 Vg+ 增益控制输入正端

2 Vg- 增益控制输入负端

3 Vin 运放输入

4 GND 运放公共端

5 FDBK 反馈端

6 -Vcc 负电源输入

7 +Vout 运放输出

8 +Vcc 正电源输入

工作模式

一般,利用反馈网络(VOUT与FDBK端的连接方式)来设计AD603的增益时,可设置为以下3种模式:

模式1:将VOUT与FDBK短路,即宽频带模式(90MHz带宽)时增益变化范围为一10~+30dB;

模式2:VOUT与FDBK之间外接一个电阻REXT,FDBK与COMM端之间接一个5.6pF的电容用于频率补偿.根据放大器的增益关系式,选取合适的REXT,可获得所需要的模式1与模式3之间的增益值.当REXT=2.15kΩ时,增益变化范围为0~+40dB;

模式3:VOUT与FDBK之间开路,FDBK与COMM连接一个18pF的电容用于扩展频率响应,该模式为高增益模式,增益范围为10~50dB,带宽为9MHz。

单个的AD603的增益可以用下式进行计算:Gain(dB)=40+,其中是差动式入的增益控制电压(1脚到2脚),范围是-500一+500mV,为统一单位量纲,在公式中单位应当使用伏特,即一0.5V~+0.5 V,是增益起点,接不同的反馈网络有所不同。

本设计采用AD603典型接法中通频带最宽的一种(即第二种工作模式),通频带为90MHz,增益为-10~+30dB,输入控制电压U的范围为-0.5~+0.5V。图6为AD603接成90MHz带宽的典型方法。

图4 AD603接成90MHz带宽的典型电路

1.3 DAC0832电压输出电路

DAC0832是采样频率为八位的D/A转换器件,芯片内有两级输入寄存器,使DAC0832具备双缓冲、单缓冲和直通三种输入方式,以便适于各种电路的需要(如要求多路D/A异步输入、同步转换等)。D/A转换结果采用电流形式输出。要是需要相应的模拟信号,可通过一个高输入阻抗的线性运算放大器实现这个供功能。运放的反馈电阻可通过RFB端引用片内固有电阻,还可以外接。其内部结构和引脚图如图12所示。

图5 DAC0832内部结构和引脚图

DAC0832引脚功能说明:

DI0~DI7:数据输入线,TLL电平。

ILE :数据锁存允许控制信号输入线,高电平有效。 CS :片选信号输入线,低电平有效。 WR1:为输入寄存器的写选通信号。

XFER :数据传送控制信号输入线,低电平有效。 WR2:为DAC 寄存器写选通输入线。

Iout1:电流输出线。当输入全为1时Iout1最大。 Iout2: 电流输出线。其值与Iout1之和为一常数。 Rfb:反馈信号输入线,芯片内部有反馈电阻. Vcc:电源输入线 (+5v~+15v)。 Vref:基准电压输入线 (-10v~+10v)。 AGND:模拟地,摸拟信号和基准电源的参考地。 DGND:数字地。

2 设计方案

2.1 总体方案框图 本系统原理方框图如图

6所示。本系统由前置放大器、中间放大器、末级功

率放大器、控制器、键盘及稳压电源等组成。其中前置放大器、中间放大器、末级功率放大器构成了信号通道。

图6 系统原理框图

前置放大器

中间放大器

末级放大器

键盘 51单片机控制器

Ui

Uo

稳压源

220V 50HZ

2.2 增益控制部分

使用控制电压与增益成线性关系的可编程增益放大器PGA,用控制电压和增益(dB)成线性关系的可变增益放大器来实现增益控制(如图3)。根据题目对放大电路的增益可控的要求,考虑直接选取可调增益的运放实现,比如AD603。其内部由R-2R梯形电阻网络和固定增益放大器构成,加在其梯型网络输入端的信号经衰减后,由固定增益放大器输出,衰减量是由加在增益控制接口的参考电压决定;而这个参考电压可通过单片机进行运算并控制D/A芯片输出控制电压得来,从而实现较精确的数控。此外AD603能提供由直流到30MHz以上的工作带宽,单级实际工作时可提供超过20dB的增益,两级级联后即可得到40dB以上的增益,通过后级放大器放大输出,在高频时也可提供超过60dB的增益。这种方法的优点是电路集成度高、条理较清晰、控制方便、易于数字化用单片机处理。

图 7 可变增益的运放放大器电路图

2.3末级功率放大器

两片AD603级联构成放大器,可对不同的大小的输入信号进行放大。由于AD603的最大输出电压较小,所以需要前级放大信号需经过后级放大达到较高的输出有效值。使用分立元件自行搭建后级放大器。使用分立元件设计困难,调试繁琐,可是却可以经过计算得到最合适的输入输出阻抗、放大倍数等参数,电阻电容可根据需要更换,在此时看来较集成电路灵活。因此自行设计后级放大器优势

就很明显了。因此末级功率放大器用分立元件自行搭建后级放大器以达到较高的输出有效值。

3 仿真电路设计

3.1输入阻抗变换电路

由于AD603的输入电阻只有100Ω,要满足输入电阻大于1kΩ的要求,必须加入输入缓冲部分用以提高输入阻抗;另外前级电路对整个电路的噪声影响非常大,必须尽量减少噪声。故采用高速低噪声电压反馈型运放OPA642作前级跟随,同时在输入端加上二极管过压保护。

图8

3.2增益控制电路

输入部分先用电阻分压衰减,再由低噪声高速运放OPA642放大,整体上还是一个跟随器,二极管可以保护输入到OPA642的电压峰峰值不超过其极限(2V)。其输入阻抗大于2.4kΩ。OPA642的增益带宽积为400MHz,这里放大3.4倍,100MHz以上的信号被衰减。输入输出端口P1、P2由同轴电缆连接,以防自激。级间耦合采用电解电容并联高频瓷片电容的方法,兼顾高频和低频信号。

增益和控制电压的关系为:AG(dB)=40×Ug+10,一级的控制范围只有40dB,使用两级串联,增益为AG(dB)=80Ug+20,增益范围是-20~+60dB,满足题目要求。

由于两级放大电路幅频响应曲线相同,所以当两级AD603串联后,带宽会有所下降,串联前各级带宽为9MHz左右,两级放大电路串联后总的3dB带宽对应着单级放大电路1.5dB带宽,根据幅频响应曲线可得出级联后的总带宽为6MHz。

图9

3.3 末级放大部分

为保证高频端放大器的稳定性和带内幅度的平坦度,宜采用互补推挽和深度电压串联负反馈电路形式,典型电路如图8所示。

高频晶体管2N3904为NPN型,2N3906为PNP型,是配对的互补管,特征频率ft达200MHz,能保证系统性能要求。由于是深度电压串联负反馈,故输入阻抗较高,输出阻抗低,适合与前端放大器和负载连接。

由图可见,本级avf≈1/kfV=1+(R10/R9),如R9、R10为图中标注值,则avf=11,约合20dB。其中所有电容,均是为了电源去耦或改善频率特性的。

图10

3.4键控及显示部分电路

该部分由这一部分由

51系列单片机AT89C52、DAC0832、LM324、键盘等组成。方框图如图11所示。

图11 控制部分电路设计

图12 仿真电路

单片机AT89C52

DAC0832

LM324

至AD603增益控制端

键盘

3.5 DAC0832电压输出电路

由于本设计要求增益调节范围为10dB~40dB,按照公式AG=80Ug+20(dB),则Ug=-1/8V~1/4V,故要求DAC0832既能输出负电压也能输出正电压。电路如图13所示。

图13 DAC0832的模拟电压输出电路

根据上述电路最终输出电压U=5*(D/128)-5(V),其中D为单片机输入到DAC0832的值。

要求增益调节范围为10dB~40dB,设定步进级数为6,因此计算的D值如表2所示。

表2 增益步进级数对照D值表

增益步进级数 1 2 3 4 5 6

预置增益值/dB 10 16 22 28 34 40

Ug/V -0.12 -0.05 0.02 0.1 0.18 0.25

D 125 127 129 131 132 135

3.6总仿真电路图

由以上几个部分可拼接得总仿真电路图如下:

图14

4 程序设计

统软件主要包含了系统初始化程序、LCD1602显示程序、键盘程序、DA转换程序等。程序流程如图14所示.液晶显示程序对单片机处理数据进行显示处理,实现友好人机界面的信息交换.DA转换主要将键盘输人的键值经过相应的处理以后,转换成二进制数据输送给DA芯片的数据口进行转换,经过转换后输出连续可调的模拟电压,用以控制AD603的1脚电压,实现程序控制.通过查询方式

实现键控增益,并可实时液晶显示。(程序代码见附表)

图15 软件流程图

5 仿真结果分析 运行仿真软件结果如下:

图16 示波器显示如下:

开始

系统初始化

有键按下?

判断键值

D/A 输出控制增益电压

Y

N

按键扫描

图17 改变增益倍数结果如下:

图18

图19

增益误差测量:输入端加峰-峰值为20mV,频率为1MHZ的正弦波信号,保持幅度稳定,然后预设增益值测量输出信号来计算增益误差。测试的数据如表3所示。

表3 增益误差测试数据表

预置增益/dB 10 16 22 28 34 40

输出信号/mV 20 20 20 20 20 20

实际增益/dB 10.1 16.2 22.1 27.8 33.9 39.4

误差增益/dB 0.1 0.2 0.1 0.2 0.1 0.6

增益误差分析如下:

(1)由表3中可以看出增益误差在0.5dB 之内,频率较高时,随着输出电压的增大,增益有下降的趋势,这是因为后级功放管工作状态即将接近饱和,通过提高后级电源电压可以使增益更加稳定。

(2)本设计偏重模拟电路的处理,得到了很高的增益和较小噪声,同时也和数字电路、单片机等结合。采用多种抗干扰措施来处理前级放大,选用集成芯片AD603作为增益控制,利用分立元件做后级功率放大,放弃了较难的宽带放大器,因此设计很灵活也比较容易实现。

6 心得体会

通过本次课程设计,我对程控旷代放大器和C语言的相关知识得到了进一步的,刚开始看到这个题目的时候,感觉倒计时不是很难,有对应的输入,在控制芯片的作用下,进行增益的控制,就可以达到效果。所以刚开始的时候,做的还不是很认真,当设计进行到具体环节的时候,问题就体现出来了,并不是像刚开始的那样简单。

首先要想到芯片的对应P口的功能,于是要对所学的单片机的知识进行复习,查找相关资料对那些知识进行扩充,于是就大量的查找相关资料和阅读,了解清楚了相应的功能后,开始了设计。

接着就是具体的模块部分的设计。我把整体模块分为四个部分进行,输入部分,用键盘作为输入模块。显示部分,采用1602。控制部分则有AT89C52芯片来完成其功能。末级放大部分通过互补推挽和深度电压串联负反馈电路形式来实现。

再就是进行相对应的仿真设计。由于在仿真用到的是Proteus软件,所以要对这个软件的应用进行学习。也是开始查找一些资料书和上网找一些应用方面的技巧,在做了充分的准备后,开始了仿真绘图。在绘图的过程中,有时候也是弄错了,导致仿真的结果出不来,在同学的帮助下,仔细查找和修改,还是完成了本设计,感觉集体的智慧还是很强大的。

在看到LCD上的显示和示波器一致的时候,心里感觉还是蛮高兴的。虽然在这次设计的过程中,困难不少,但是正是在自己的努力,同学们的帮助下,自己能够顺利的完成,确实还是蛮欣慰的。感谢这次课程设计给了自己锻炼的机会,自己在今后的学习和生活中,会更加的努力,争取更大的进步!

7 参考文献

[ 1] 叶昌茂,温世敏.实用直流放大器的设计[J].电子工程师,2005(10):30-33.

[2] 王国伟,施树春.可编程宽带运算放大器的设计与实现[J].武汉理工大学学报·信息与管理工程版,2008(6):378-381.

[3]朱前伟等.基于单片机的一氧化碳传感器的设计[J]. 计算机测量与控制,2009(7):1445-1446.

[4] 钟小鹏,杜金榜,王跃科.大动态范围高精度AFE程控调理的经典实现方法[J]. 计算机测量与控制,2006(4):533-535.

[5]高德芝, 陈祖斌, 段建民.基于浮点放大技术的多通道数据采集系统[J].计算机测量与控制,2009(2):431-433.

[6] 郭俊国,田书林,王志刚.大动态范围低失真模拟前端的设计[J].仪表技术与传感器,2009(3):109-111.

程序附录:

#include

#include

#define uchar unsigned char

#define uint unsigned int

#define da0832 XBYTE[0x7fff]

sbit key1=P1^0;

sbit key2=P1^1;

sbit key3=P1^2;

sbit key4=P1^3;

sbit key5=P1^4;

sbit key6=P1^5;

sbit LCDEN=P3^4;

sbit RS=P3^5;

uchar num;

uchar code table1[]="ZYBS:";

uchar aa[6]={125,127,129,131,132,135};//对应DAC0832输出电压的值uint m;

void delay(char c) /*延时1ms*/

{

char a,b;

for(a=c;a>0;a--)

for(b=110;b>0;b--);

}

void write_com(uchar com)

{

RS=0;

P1=com;

delay(5);

LCDEN=1;

delay(5);

LCDEN=0;

}

void write_data(uchar date)

{

RS=1;

P1=date;

delay(5);

LCDEN=1;

delay(5);

LCDEN=0;

}

void init()

{

LCDEN=0;

write_com(0x38);

write_com(0x0c);

write_com(0x06);

write_com(0x01);

}

void keyscan()/* 按键2进行减1*/ {

if(key1==0)

{

m=aa[0];

write_com(0x80+0x05);

write_data(0x30+1);

delay(5);

write_data(0x30+0);

delay(5);

}

while(key1==0); //等待按键释放 if(key2==0)

{

m=aa[1];

write_com(0x80+0x05);

write_data(0x30+1);

delay(5);

write_data(0x30+6);

delay(5);

}

while(key2==0); //等待按键释放 if(key3==0)

{

m=aa[2];

write_com(0x80+0x05);

write_data(0x30+2);

delay(5);

write_data(0x30+2);

delay(5);

}

while(key3==0); //等待按键释放 if(key4==0)

{

m=aa[3];

write_com(0x80+0x05);

write_data(0x30+2);

delay(5);

write_data(0x30+8);

delay(5);

}

while(key4==0); //等待按键释放 if(key5==0)

{

m=aa[4];

write_com(0x80+0x05);

write_data(0x30+3);

delay(5);

write_data(0x30+4);

delay(5);

}

while(key5==0); //等待按键释放 if(key6==0)

{

m=aa[5];

write_com(0x80+0x05);

write_data(0x30+4);

delay(5);

write_data(0x30+0);

delay(5);

}

while(key6==0); //等待按键释放}

void main()

{

宽带低噪声放大器设计毕业设计

本科毕业设计 学院 专业 年级 姓名 设计题目宽带低噪声放大器设计 指导教师职称 ****年* 月* 日

目录 摘要 (1) Abstract. (1) 1概述 (1) 2低噪声放大器设计的原理 (2) 2.1噪声系数 (2) 2.2低噪声放大器的功率增益以及分配电压增益 (2) 2.3端口驻波比 (3) 2.4工作带宽与增益平坦度 (3) 2.5动态范围以及压缩点 (3) 2.6三阶截断点 (4) 2.7低噪声放大器的稳定性 (4) 3器件的选择 (4) 3.1放大器的选择 (5) 3.2放大器的介绍 (5) 3.3电源的供电 (5) 3.4选用器件的介绍 (5) 4模拟电路设计 (5) 4.1方案选择 (6) 4.2模拟电路设计 (6) 4.3电源电路 (6) 5电路的调试 (8) 5.1调试过程 (8) 5.2测试结果 (8) 5.3系统的改进措施 (10) 6总结 (11) 参考文献 (11)

宽带低噪声放大器设计 学生姓名:*** 学号:*********** 学院:专业: 指导老师:职称: 摘要:本文介绍了一个15V单电源供电的低噪声放大器设计,设计采用三级级联的方式。该系统主要是宽带低噪声放大器,为了满足要求,采用了高速运算放大器μa741作为前两级放大,末级用CA3140作为功率放大电路。测试结果表明,放大倍数为100倍,带宽有1MHz。 关键词:μa741;放大器;带宽;噪声系数 The design of the low noise amplifier with broadband Abstract: This article describes the design of a single 15V power supply and low noise amplifier. The system has three amplifier consisted ofμa741 and CA3140, which meet the requirements of broadband and low noise. Test results show that a amplifier with bandwidth 1MHz is 100 times. Keywords: μa741;amplifier;Bandwidth;noise figure 1概述 我们知道低噪声放大器是射频电路的重要组成部分,并且在有源滤波器等电子电路当中宽带低噪声放大器起着重要作用。而且在射频微波电路当中,放大器也起着重要作用,它的好坏直接决定了射频微波电路的功能的实现,具有很重要的现实意义,所以在制做低噪声放大器的时候我们要注意它的各项指标是否能够达标。 除此之外,我们知道随着社会的发展,以及各项科学技术的发展,对通信带宽的要求也越来越宽因此各种通信设备在宽频带上的工作要求不再是以前的一个或者几个频点。由于我国对放大器设计的技术相对来说还不算很先进,所以更需要后起之秀对放大器设计进行进一步的探索和研究。 随着时代的发展,人们对通信质量的要求也更高,其中包括要使工作频率更高、工作频率更宽以及噪声系数更小,这已经成为各项科学技术设备发展的趋势。本文介绍了一种比较简单易行的宽带低噪声放大器设计方法。本设计利用具有低噪声,高速运算的放大器μa741,以及DC-DC交换器TPS61087DCR作为此宽带的噪声放大器

宽带放大器

宽带放大器 摘要 本设计全部采用集成电路,具有硬件电路形式简单,调试容易,频带宽,增益高,AGC动态范围宽的特点,且增益可调,步进间隔小。本宽带放大器以可编程增益放大器AD603为核心,由三级放大器组成,前级放大主要是提高输入阻抗,对小信号进行放大;中间级为可变增益放大器,主要作用是实现增益可调及AGC功能,增益控制和AGC功能都由单片机控制,可预置并显示增益值,增益可调范围10dB~58dB,步进1dB,由单片机自动调节放大倍数可实现AGC功能,使输出电压稳定在4.5V~5.5V 之间;后级放大进一步增加放大倍数,扩大输出电流,提升放大器的带负载能力,提高输出电压幅度。后级输出接峰值检波电路,检波电路输出由单片机采样并计算后,用液晶显示屏显示输出正弦波电压的有效值和峰峰值。由于宽带放大器普遍存在容易自激及输出噪声过大的缺点,本系统采用多种形式的屏蔽措施减少干扰,抑制噪声,以改善系统性能。

一、方案论证与比较 1、总体方案 方案一:选用结电容小,f T高的晶体管,采用多种补偿法,多级放大加深度负反馈,以及组合各种组态的放大电路形式,可以组成优质的宽带放大器,而且成本较低。但若要全部采用晶体管实现题目要求,有一定困难,首先高频晶体管配对困难,不易购买;其次,理论计算往往与实际电路有一定差距,工作点不容易调整;而且,晶体管参数易受环境影响,影响系统总体性能。另外,晶体管电路增益调节较为复杂,不易实现题目要求的增益可调。 方案二:使用专用的集成宽带放大器。如TITHS6022、NE592等集成电路。通过外接少数的元件就可以满足本题目要求,甚至远超过题目要求的带宽和增益的指标,但这种放大器难以购买,价格较贵,灵活性不够,不易满足题目扩展功能要求。 方案三:市面上有多种型号、各具特色的宽频带集成运算放大器。这些集成运算放大器有的通频带宽,有足够的增益,有的可以输出较高电压,使用方便,有的甚至可以实现增益可调及AGC的功能。总体上硬件的实现和调试较为简单,所以,我们决定采用多个集成运放级连实现本题目。系统方框图如图1-1-1

射频宽带放大器

射频宽带放大器(D题) 摘要:本系统以可控增益放大器LMH6502为核心,外加宽带放大器OPA695的配合,实现了增益可调的射频宽带放大功能。系统主要由四个模块构成:前置固定放大电路模块、可控增益电路模块、后级固定放大电路模块和单片机控制显示模块。前置放大电路和后级放大电路以OPA695为核心器件,分别可提供约25.3dB 和23.5dB的固定增益;可控增益模块主要由LMH6502构成,可实现-50dB~20dB 的动态增益变化;单片机显示模块用于控制并显示可控增益电路模块的控制电压,使整个网络能够完成0~60dB的增益可调。本系统具有增益可调,频带宽,电路形式简单且调试方便的特点。经测试,系统完成了全部基本功能和部分发挥功能。 关键词:宽带放大器;可控增益;单片机控制;

一、系统方案: 1.1方案比较与选择: 方案一采用分立三极管或双栅场效应管,将每一级构成的可控放大器级联,分别对每一级增益进行控制。该方案灵活度相对较高,但电路稳定度低,不利于调节和控制。 图一方案一总体框图 方案二:用模拟开关构成电阻网络,由单片机控制以改变信号增益。这种方案存在的不足是模拟开关会导致导通电阻较大,信号会互相干扰,容易影响系统性能。而且电阻网络级数多,造成硬件电路复杂,且电阻网络的电阻选择也较为困难,很难做到高精度控制。 方案三:用多级固定增益的运算放大电路和电压增益控制运算放大器构成。集成可控增益放大器的增益与控制电压成严格线性关系,控制电压由单片机控制DAC 产生,精度高,可以满足题目指标要求,而且外围电路简单,便于调试,故采用此方案。 图二电路总体框图 1.2方案描述: 1.2.1总体框图:

09年C题实验报告(宽带直流放大器)要点

2009年全国大学生电子设计竞赛 【本科组】 宽带直流放大器(C题)

摘要:本宽带直放大器使用一片ad8039两级前置放大然后经过由VCA810组成的程控放大电路经过5M和10M的三阶无源滤波器再通过AD811精密运放和BUF634缓冲电路接负载输出,整个系统由单片机通过键盘控制,可以在手动与步进放大倍数之间调节,也可以通过按键调节5M和10M通道的滤波器,该系统性能指标良好,增益可以在0~66.8dB之间调节,在规定的带宽范围内幅度波动没有超过1dB,完成了题目的要求。 关键词:前置放大无源滤波步进放大 Abstract:The broadband amplifier using a straight ad8039 two levels of preamplifier and then through a programmable amplifier circuit composed of VCA810 through a 5 m and 10 m of third-order passive filter through AD811 precision op-amp and BUF634 load output buffer circuit, the whole system is controlled by a single-chip microcomputer by keyboard, can step between magnification and manual adjustment, can also use buttons adjust the filter of 5 m and 10 m channel, the system performance is good, can be between 0 ~ 66.8 dB gain adjustment, amplitude fluctuations within the bandwidth of the provisions of no more than 1 dB, completed the topic request. Key Word:pre-amplification Passive filter Step amplification

宽带高频功率放大器

5.4 宽带高频功率放大器 以LC谐振回路为输出电路的功率放大器,因其相对通频带只有百分之几甚至千分之几,因此又称为窄带高频功率放大器。这种放大器比较适用于固定频率或频率变换范围较小的高频设备,如专用的通讯机、微波激励源等。除了LC谐振回路以外,常用于高频功放电路负载还有普通变压器和传输线变压器两类。这种以非谐振网络构成的放大器能够在很宽的波段内工作且不需调谐,称之为宽带高频功率放大器。 以高频变压器作为负载的功率放大器最高工作频率可达几百千赫至十几兆赫,但当工作频率更高时,由于线圈漏感和匝间分布电容的作用,其输出功率将急剧下将,这不符合高频电路的要求,因此很少使用。以传输线变压器作为负载的功率放大器,上限频率可以达到几百兆赫乃至上千兆赫,它特别适合要求频率相对变化范围较大和要求迅速更换频率的发射机,而且改变工作频率时不需要对功放电路重新调谐。本节重点分析传输线变压器的工作原理,并介绍其主要应用。 5.4.1 传输线变压器 1. 传输线变压器的结构及工作原理 传输线变压器是将传输线(双绞线、带状线、或同轴线)绕在高导磁率铁氧体的磁环上构成的。如图5-24(a)所示为1:1传输线变压器的结构示意图。 传输线变压器是基于传输线原理和变压器原理二者相结合而产生的一种耦合元件,它是以传输线方式和变压器方式同时进行能量传输。对于输入信号的高频频率分量是以传输线方式为主进行能量传输的;对于输入信号的低频频率分量是以变压器方式为主,频率愈低,变压器方式愈突出。 如图5-24(b)为传输线方式的工作原理图,图中,信号电压从1、3端输入,经传输线 R上。如果信号的波长与传输线的长度相比拟,变压器的传输,在2、4端将能量传到负载 L 两根导线固有的分布电感和相互间的分布电容就构成了传输线的分布参数等效电路,如图 5-24(d)所示。若认为分布参数为理想参数,信号源的功率全部被负载所吸收,而且信号的上限频率将不受漏感、分布电容及高导磁率磁芯的限制,可以达到很高。 图5-24 1:1传输线变压器的结构示意图及等效电路

宽带放大器设计报告

宽带放大器设计报告 ―-武汉大学电子设计基地设计组第1组:许可崔振威谢超 摘要:本系统利用可变增益放大器AD600作为核心,通过模拟开关选通不同的控制电压的方式来达到增益步进6dB,总增益从0dB到30dB的目的,其控制电压均由2.5v电压基准MAX873经过精密电阻分压得到,有效的保证了控制电压的稳定度,获得良好的波形。前置放大采用由AD844构成的正向放大器,可以有效的提高输入电阻,使输入电阻达到兆欧级别。后级放大采用增益固定为10dB的同向放大器,从而使整个电路的增益能从10dB变化到40dB,该放大器由高精度宽带运放MAX477构成,在保证良好输出波形的同时,可以使输出电压有效值大于3V。前置放大和后级放大的输出均采用峰值检测电路检测出正半周最大电压值,用于有效值的计算,采用AD603构成的AGC电路,在输入信号在0.05V~1.00V内变化时,能将输出有效值稳定在2.05~2.6 V。整个系统的通频带为1K~14.6MHz。由12位A/D 转换器MAX197对输出信号的峰值进行测量,分辨率达到1mV 。AT89S52和CycloneFPGA构成的单片机小系统板可以通过键盘,人为预置增益值来获取相应的放大倍数,同时实时显示实际增益值、输出有效值和当前增益误差。整个系统采用中文显示,界面友好美观,控制方便。

一、方案论证与选择 1.增益控制部分: 方案一 采用普通宽带运算放大器组成的放大电路,同时由分立元件构成的AGC控制电路,通过包络检波再反馈回放大器的方法来控制放大倍数,这种方法构成电路简单,但是反馈控制比较困难,难以实现步进,精度也很低。 方案二 采用集成可变增益放大器AD600作为增益控制。AD600是一款低噪声、精密控制的可变增益放大器,温度稳定性高,最大增益误差为0.5dB,满足题目要求的精度,其增益(dB)与控制电压成线性关系,因此可以方便的采用控制电压的方式来控制放大器的增益.采用D/A变换装置输出电压控制高速压控放大器AD600来实现增益的步进,采用此种方法可以获得很小的步进。但是由这种方法得到的控制电压有一定的纹波,而芯片AD600对控制电压非常敏感,微小的电压波动就能造成输出波形上下起伏,波形不佳。 方案三 主控芯片采用AD600,利用电压基准源通过精密电阻分压得到各个增益值对应得控制电压,在用模拟开关CD4051来选则不同的控制电压来达到控制增益的目的。电压基准源采用MAXIM公司2.5 V基准MAX873。 经过比较,选用方案三。 2.有效值测量部分 方案一 采用检波二极管构成的峰值检测电路,然后用A/D转换器对其检测结果进行读数。峰值检测的原理是当输入电压正半周通过时,检波管导通,对电容C充电,适当选择电容值,使得电容放电速度大于充电速度,这样,电容两端的电压可以保持在最大电压处,该电压通过一个用运算放大器构成的射极跟随器输出电压峰值。采用这种电路优点是频带响应宽,频率越高检测反而越准确,且电路简单。但是由于检波二极管存在一定的导通压降,且为非线性,测量精度低,小信号时尤其明显。同时电容值的选取也使得电路有一定的局限性,如选取太大,放电时间过长,会改善输出电压发纹波,但是会导致该电路响应速度慢;如果电容选的太小,放电时间过短,能改善电路的响应时间,但也会导致低频时输出电压纹波较大。 方案二 采用集成电路AD637作为有效值运算,它测量有效值的范围为0-7V,精度优于0.5%,且外围元件少,频带宽,对于一个有效值为1V的信号,它的3dB带宽为8MHz,并且可对输入信号的电平以dB形式表示。该方案精度高,直接输出有效值,但电路稍复杂,且不适合高频信号。 经过比较,方案二中AD637对小信号测量具有很大优势,而方案一中在频带方面满足要求,考虑到题目的频带范围和制作成本的因素,采用方案一。 3.自动增益控制部分(AGC) 方案一 AGC电路实际上是一个根据输出电压的动态的调整放大倍数,从而使输出稳定在预定范围的反馈型电路。根据该特点可以引入CPU、A/D和D/A转换器通过程序对放大倍数进行控制,即数字式AGC,此种AGC电路的输出范围完全由人为设定,可以很容易满足题目要求,

射频宽带放大器的设计方案

射频宽带放大器设计报告 摘要:本系统以AD公司生产的高速可控增益运放AD8330为核心,结合固定增益放大、可变增益放大、末级差分电路等主要部分,能实现放大倍数0~50dB 增益可调。前级放大采用一片AD8330实现可变增益放大,固定增益放大采用OPA847芯片实现10倍的固定增益放大,再经末级1片电流反馈型运放THS3001扩流,构建末级差分驱动负载。 关键词:宽带放大器高速运放 OPA847 AD8330

一、方案论证与选择 1、方案选择与比较 1.1 固定增益放大器比较 方案一:采用OPA820运放芯片作为固定增益放大,该芯片是一种高速运算放大器,在6 Hz~ 20 MHz 的通频带中可实现放大增益为43 dB, 具有带内波动小, 输出噪声低的特点。但是缺点是通频带不够宽。 方案二:采用OPA695电压反馈型高速运算放大器,在1400MHz频率下能实现两倍放大,符合本题要求,但在高频下,该运放易产生自激。 方案三:采用OPA847, 电压反馈型高速运算放大器,最大频带宽度达 3.9GHz,完全满足本题频带要求,输入电压噪声低,带内波动小,自激现象 少。 综上所述,本设计采用方案三。 1.1.2 可变增益放大器比较 方案一:采用可编程程控放大器AD603。该运放增益在-11~+30dB范围内可调,通过改变管脚间的连接电阻值可调节增益范围,易于控制。但该运放增益可调带宽为90MHz,不满足题目要求。 方案二:采用高增益精度的压控VGA芯片AD8330。该芯片可控增益带宽可达150MHz,增益可调范围0~70dB,符合本题指标要求. 因此,该电路采用方案二。 1.1.3 电压增益可调方案比较 方案一:基于单片机做步进微调。由单片机MSP430G2553及12位DA转换芯片TLV5616对AD8330进行程控,实现增益在可取范围内可调。但是,此设计只能步进调节,不能连续可调,此方案不可取。 方案二:基于精密电位器做连续可调。用一个精密电位器对+5V分压后输入AD8330 5脚VDBS,从而对电压增益实现连续可调。电路简单,节省成本。 经比较,本设计选择方案二。 2、方案描述 总体框图如图1所示。

宽带直流放大器开题报告 -

HEFEI UNIVERSITY 毕业设计(论文) 开题报告 题目宽带直流放大器的设计与研究系别电子信息与电气工程系 专业通信工程 班级 09 级通信工程( 2 )班 姓名 指导老师 完成时间 2013 —03 —29

合肥学院电子信息与电气工程系 毕业设计(论文)开题报告 学生:汪皖春班级:09通信工程 2 班论文题 目 宽带直流放大器的设计与研究导师姓名李翠花 可行性方案分析 要求包含以下几个主要部分:(不少于1500字) 研究背景、主要内容、设计方案、技术路线、关键问题、时间安排 见附页 参 考 文 献 [1]黄智伟. 全国大学生电子设计竞赛系统设计[M].北京:北京航空航天大学出版 社,2006. [2]全国大学生电子设计竞赛组委会编.全国大学生电子设计竞赛获奖作品汇编 [M].北京:北京理工大学出版社,2006. [3]沈伟慈. 通信电路(第2版)[M].西安:西安电子科技大学出版社,2007. [4]高吉祥. 全国大学生电子设计竞赛培训系列教程:模拟电子线路设计[M].北京: 电子工业出版社,2007. [5]第六届全国大学生电子设计竞赛获奖作品选编[M].北京:北京理工大学出版社, 2003. 开 题 小 组 及 教 研 室 意 见 开题小组签名: 年月日

研究背景: 随着微电子技术的发展,人们迫切地要求能够远距离随时随地迅速而准确地传送多媒体信息。于是,无线通信技术得到了迅猛的发展,技术也越来越成熟。而宽带放大器是上述通信系统和其它电子系统必不可少的一部分。由此可知,宽带放大器在通信系统中起到非常重要的作用,于是人们也对它的要求也越来越高。宽带直流放大器在科研中具有重要作用,宽带运算放大器广泛应用于A∕D转换器、D∕A转换器、有源滤波器、波形发生器、视频放大器等电路。例如在通讯、广播、雷达、电视、自动控制等各种装置中。因此宽带直流放大器应用十分广泛,有非常好的市场前景。宽带直流能够放大直流信号或变化极其缓慢的交流信号,它广泛应用于自动控制仪表,医疗电子仪器,电子测量仪器等。目前在无线通信、移动电话、卫星通信网、全球定位系统(GPS)、直播卫星接收(DBS)、ITS通信技术及毫米波自动防撞系统等领域有着广阔的应用前景,在光传输系统中,宽带直流放大器也同样占有重要地位。 主要内容: 本系统利用 C8051F120单片机作为主控制器,设计并实现了一宽带直流放大器,通过三级直接耦合放大和一级功率放大,放大倍数为0~40dB,通频带为0~10MHZ可预置。通频带内增益起伏≤3 dB;由外置键盘实现增益可控预置,步距为5dB;由LCD12864同步显示增益预置值和增益步进值;利用单个元器件的零点漂移特性,巧妙采用放大级正向、反向输入端,有效的抑制了零漂。整个系统实现简单,操作界面友好。 设计方案: 方案一:集成运放和分立元件相结合。宽带集成运放级联构成前置放大电路,实现小信号的前置放大及增益要求;运算放大器加分立器件三极管构成功率扩展型电路实现末级功率放大。 方案二:采用分立元件,利用高频三极管或场效应管差分对构成多级放大电路,末级采用大功率器件来保证输出功率,通过负反馈电路来确定增益。该方案可实现的放大器工作频率高、功率大,但其电路比较复杂,且零点漂移严重,难以实现直流信号的放大。此外,由于电路采用了多级放大,其稳定性差,容易产生自激现象。 方案三:采用集成运算放大器芯片级联构成。集成运放芯片使用简单,精度高,但是采用这种方案,放大器可能会出现输出功率不够,因此我们采用两个功率集成运放并联的方式实现增大输出功率。 方案选定:经三种方案比较,考虑到集成运放高增益、低直流漂移的优点和增益容易控制,决定采用方案三。 技术路线: 1、设计框图 以单片机为控制器,输入信号通过前置放大、中间级放大,再经过通频带选择网络完成对通频带带宽的选择,由末级放大器输出。通过键盘控制选择通频带带宽、电压增益等参数,并由显示器同步显示增益预置值和增益步进值。

一种增益可控的射频宽带放大器设计

一种增益可控的射频宽带放大器设计 射频宽带放大器是各类电子仪器与仪表里很常用、很重要的一个單元电路。为此,论述了一款增益可控的射频宽带放大器的设计选型的过程,给出了参数的计算过程和选型是要考虑的技术指标和功能。因此结论对模拟放大电路的设计具有一定的参考价值。 标签:射频;宽带放大器;参数计算;选型要求 doi:10.19311/https://www.wendangku.net/doc/7b13443678.html,ki.16723198.2017.09.088 1理论计算 1.1设计要求 根据用户对高频、大信号的放大要求,课题研究小组进过分析和研究,得出下列的具体设计参数: (1)被设计的放大器的电压增益A V≥52dB,增益可控52dB,输入信号电压的有效值Vi≤5mV,其输入阻抗、输出阻抗均为50欧姆,负载电阻50欧姆,且输出电压有效值V o≥2V,波形无明显失真; (2)在50MHz~160MHz频率范围内增益波动不大于2dB; (3)-3dB的通频带不窄于40MHz~200MHz,即fL≤40MHz和fH≥200MHz; (4)电压增益A V≥52dB,当输入信号频率f≤20MHz或输入信号频率f≥270MHz时,实测电压增益A V均不大于20dB; (5)放大器采用+12V单电源供电,所需其它电源电压自行转换。 通过对上述设计要求的分析可知,此课题对宽带放大器的参数选型提出了很高的要求,诸如:压摆率、增益带宽积、最大输出功率、高频高输出摆幅等都要进行严格的计算。只有做到科学计算,才能为正确的集成放大器选型打下坚实的基础,为后续设计提供科学保障。 1.2放大器的参数计算 (1)最小增益需要达到52dB(400倍),带宽200MHz,系统增益带宽积高达8*109MHz(*此处应注意多级放大和增益分配*); (2)输入电压有效值最大5mv,需要做小信号低噪声放大;

射频宽带放大器

电子系统设计 方案设计:增益可调的宽带放大器 团队成员: 指导教师: 提交时间:2015年12月11日

增益可调的宽带放大器 摘要:本设计以增益调整、带宽预置、单片机反馈调节为核心,制作一个射频宽带放大 器,要求具有0.3~100MHz 通频带,增益0~60dB 范围内可调,并且实现输入输出阻抗、最大输出正弦波有效值、指定频带内平坦度等功能指标要求。由于系统输入信号小,频率高,带宽要求大,可控增益范围宽,并且需要满足平坦度、输出噪声电压等指标。为此,采用高增益带宽运放组成频带预置、AD8367的压控增益放大系统完成增益调整、单片机实现反馈调节。除此之外,通过增加缓冲级、外加硬件保护措施有效地抑制了高频信号的噪声和自激振荡。经测试,系统对mV 1≤的输入信号实现了增益0~60dB 范围内可调,带宽0.3~100MHz ,并在1~80MHz 频带内增益起伏dB 1≤,且全程波形无明显失真。完成了题目所要求的所有基本要求以及绝大部分发挥部分的性能指标。

1.系统方案设计与论证 1.1总体方案设计与论证 分析该射频宽带放大器设计的指标,为达到题目所设定带宽与增益可调,并且能够满足在输入和输出阻抗=50Ω的情况下,最大输出正弦波电压有效值达到要求的目的,我们将整个系统分为前置缓冲级、带宽预置、增益调整、输出缓冲级、峰值检波等部分组成,主控器采用STC12系列单片机。系统整体框图如图1所示: 图1 系统框图 1.2前置缓冲级的方案论证与选择 前置缓冲电路使用电压跟随器实现,如图2所示。考虑到本系统的通频带为0.3~100MHz,且输入阻抗限定为50Ω,由正相输入电压跟随器的输入阻抗为Rj趋于无穷大,所以图2电 路的输入阻抗为 k k k k R R R R R R R R≈ + * = = j j j n i // 。则可令实际电路取Rk=50Ω以达到输入阻抗要求。 除此之外,此前置放大电路还具有缓冲、避免引入噪声等作用,起到了良好的隔离功能。其电压增益接近于1,运算放大器选用AD8005,此放大器的增益带宽积达到270MHz。 图2 前置缓冲级

AD603的直流宽带放大器

基于AD603的直流宽带放大器设计直流宽带放大器可以对宽频带、小信号、交直流信号进行高增益的放大,广泛应用于军事和医用设备等高科技领域上,具有很好的发展前景。在很多信号采集系统中,经放大的信号可能会超过A/D转换的量程,所以必须根据信号的变化相应调整放大倍数,在自动化程度要求较高的场合,需要程控放大器的增益。AD603是由美国ADI公司生产的压控放大器芯片,具有低噪声、宽频带、高增益精度(在通频带内增益起伏小于等于1dB)的特点。压控输入端电阻高达50MΩ,在输入电流很小时,片内控制电路对提供增益控制电压的外电路影响较小,适于实现程控增益调节。故该系统选择AD603为核心实现高增益、低噪声的程控直流宽带放大器。 1系统设计 1.1技术指标 输入电阻Ri≥50Ω;输入电压有效值Ui≤10mV;带宽0~10MHz,0~9MHz范围内,增益起伏小于等于1dB;程控增益40dB和60dB,以5dB步进;在60dB放大,带载50Ω时,最大输出10V,且无明显失真。 1.2总体设计 宽带直流放大器的实现原理框图如图1所示。该系统主要由宽带运放级联组成,输入信号经由AD603及外围电路构成的放大网络输出,输出增益为36.5dB,带宽15.6M,再由AD811放大,两级可实现40dB增益,在0~10MHz范围内无明显失真。经AD811放大电路放大的信号再经过AD829实现60dB增益,输出电压有效值10V,信号经过AD829之后进入扩流电路,实现带载50Ω电阻。单片机mega16通过DAC0832来控制预置增益,编程实现步进增益5dB,实时液晶显示。

图1总体设计框图 1.3单元电路分析与参数计算 1.3.1前置放大电路分析与设计 AD603是一款8引脚的高增益、带宽可调放大器,带宽最大为90MHz.在-1~+41dB 的增益范围内,带宽可达30MHz;在9~51dB的增益范围内,带宽为9MHz.由于带宽增益积的关系,一级AD603无法实现60dB放大,需采取多级级联实现。由于低噪声的特性,选择AD603作为第一级放大。根据芯片技术手册,当VG在-500mV~+500mV范围内以40dB/V(即25mV/dB)进行线性增益控制,增益G(dB)与控制电压VG之间的关系为:G(dB)=40VG+G0i(i=1,2,3)。这里要求增益5dB步进,故VG=5325mV=125mV,其中VG=VGPOS-VGNEG(单位为伏特),G0i分别为三种不同模式下的增益常量: G01=10dB,G02=10~30dB,G03=30dB. Ri=R1‖100=100‖100=50Ω,系统要求带宽为10M,前置放大器的带宽应大于 10M,采用G02模式,通过计算调试选定AD603的5、7脚接2.15kΩ,4、5连接5pF电容,实现频率补偿。第一级放大器的最高频率为: AD603芯片内部有100Ω电阻,在反向输入端与地之间加入100Ω电阻,实现输入电阻为50Ω,第一级实现增益36.5dB. 1.3.2中间级放大设计 AD603的供电电压最大为±7.5V,经AD603放大的信号幅度最大为5V左右,带载能力差。AD811是一款视频驱动放大器,在满足通频带内增益起伏小于等于0.1dB,增益小于等于2时,具有25M带宽,供电电压选用±15V,可实现10V有效值输出。满足系统10M通频带的指标要求,具有较强的带载能力,在满足40dB增益的前提下,还要考虑到与后级放大器一起实现60dB增益,且满足带宽要求,这里选择AD811的增益为1.5倍(3.5dB)。增益由电阻RFB和RG来决定: 为了便于精确调整放大倍数,RFB选用1kΩ滑动电位器,前两级放大后,在10M带宽范围内,实现了40dB增益。

宽带射频功率放大器设计

?阻抗变换器和阻抗匹配网络已经成为射频电路以及最大功率传输系统中的基本部件。为了使宽带射频功率放大器的输入、输出达到最佳的功率匹配,匹配电路的设计成为射频功率放大器的重要任务。要实现宽带内的最大功率传输,匹配电路设计非常困难。本文设计的同轴变换器电路就能实现高效率的电路匹配。同轴变换器具有功率容量大、频带宽和屏蔽好的特性,广泛应用于VHF/UHF波段。常见的同轴变换器有1:4和1:9阻抗变换,如图1所示。但是实际应用中,线阻抗与负载不匹配时,它们的阻抗变换不再简单看作1:4或1:9.本文通过建立模型,提出一种简化分析方法。 1 同轴变换器模型 同轴变换器有三个重要参数:阻抗变换比、特征阻抗和电长度。这里用电长度是为了分析方便。当同轴线的介质和长度一定时,电长度就是频率的函数,可以不必考虑频率。 1.1理想模型 理想的1:4变换器的输入、输出阻抗都匹配,每根同轴线的输入、输出阻抗等于其特征阻抗Z0,其等效模型如图2所示。

其源阻抗Zg与ZL负载阻抗变换比为: 图2和公式(1)表明:变换器的阻抗变换比等于输入阻抗与输出阻抗之比。 同轴变换器的输入阻抗等于同轴线的输入阻抗并联,输出阻抗等于同轴线的输出阻抗串联。 1.2通用模型 由于特征阻抗是实数,而源阻抗与负载阻抗一般都是复数,所以,就不能简单的用变换比来计算。阻抗匹配就是输入阻抗等于源阻抗的共轭,实现功率的最大传输。特征阻抗为Z0,电长度为E的无耗同轴线接复阻抗的电路如图3所示。 由于源阻抗与同轴线特征不匹配,电路的反射系数就不是负载反射系数。 由于同轴线是无耗的,进入同轴线的功率就等于负载消耗的功率。那就可以把电路简化只有一个负载Zin,又因为Zg与Zin都是复数且串联,就可以把Zg中的虚部等效到Zin中,最后得到反射系数为: 其中:

宽带放大器设计论文

本科生毕业论文(设计) 题目(中文):宽带放大器 (英文): Wide-band Amplifier 学生姓名: 学号: 系别:物理与电子信息工程 专业:电子信息科学与技术 指导教师: 起止日期: 2010年 5月 23日

怀化学院本科毕业论文(设计)诚信声明 本人郑重声明:所呈交的本科毕业论文(设计),是本人在指导老师的指导下,独立进行研究工作所取得的成果,成果不存在知识产权争议,除文中已经注明引用的内容外,本论文不含任何其他个人或集体已经发表或撰写过的作品成果。对本文的研究做出重要贡献的个人和集体均已在文中以明确方式标明。本人完全意识到本声明的法律结果由本人承担。 本科毕业论文(设计)作者签名: 年月日

目录 摘要.............................................................................................. I 关键字.............................................................................................. I Abstract ............................................................................................. I Key words ......................................................................................... II 1 前言 .. (1) 1.1运算放大器的发展及应用概况 (1) 1.2宽带放大器简介 (2) 1.3课题研究的意义 (3) 2 设计任务与要求 (3) 2.1设计任务 (3) 2.2设计要求 (3) 2.2.1 基本要求 (3) 2.2.2 发挥部分 (4) 3 设计方案的选择与论证 (4) 3.1宽带放大器的总体设计方案 (4) 3.1.1 增益控制电路设计方案 (6) 3.1.2 功率输出部分设计方案 (7) 3.1.3 有效值测量电路设计方案 (7) 3.1.4 自动增益控制(AGC)设计方案 (7) 4 理论分析与参数计算 (8) 4.1带宽增益积 (8) 4.2电压控制增益的原理 (8) 4.3自动增益控制介绍 (11) 4.4正弦电压有效值的计算 (12) 5 系统各模块的电路设计 (12) 5.1直流稳压电源部分 (12) 5.2输入缓冲和增益控制部分 (12) 5.3增益控制部分 (13)

宽带放大器

宽带放大器设计报告 摘要:本系统由四大模块组成,分别为放大模块、电源模块、峰值检波和测量显示模块组成。放大模块采用三级放大,在前级放大电路中,采用指定的高速运算放大器OPA820ID作为第一级放大电路,输出经第二级放大器OPA690放大后,后级放大采用指定的低失真电流反馈放大器THS3091D放大达到最大峰峰值大于10V的输出。电源模块采用DC-DC转换芯片TPS61089得到正负12V电源(利用外部充电泵原理)和MC34063得到的正负5V电源,34063得到的正负5V给前两级放大电路供电,TPS61089DRCT得到的正负12V经稳压得到正负9V给后级放大器THS3091D供电。峰值检波采用数字检波电路。测量模块采用TI公司低功耗单片机MSP430F149,利用单片机内部AD对检波后输出采样,经单片机处理后送至LCD显示。 关键词:宽带放大器,DC-DC转换,充电泵,峰值检波 一.方案论证与比较 1.1 放大器方案选择 前级放大器和后级放大器分别为指定的TI公司的OPA820ID和THS3091D,但仅由这两级放大不能满足题目要求。因此,需加入中间级放大电路。方案论证如下: 方案一:采用LM358放大器组成的放大电路。LM358是一般的运算放大器,对电源的要求较高,功耗较大。它的放大精度不是很高,受环境因素影响变化大,而且对输入的小信号放大,纹波和噪声都比较大。另外,LM358的增益带宽积较小,不能满足要求。 方案二:采用OPA690放大器组成的放大电路。OPA690是TI公司的的一款高性能电压反馈运算放大器。它具有较高的放大精度和较大的带宽,受环境影响较小,符合题目要求。 综上所述:我们选择方案二。 1.2 电源方案选择 题目中提供给我们的电源只有 +5V, 但为了更好的达到题目的要求,我们采用双电源供电,所以,应该将提供的电源做DC-DC变换。前两级采用MC34063变换得到正负5V电源供电。THS3091电源选择方案如下: 方案一:使用TPS61087DRCT和变压器。5V电压经DC-DC升压变换后,输出电压经变压器变压后产生正负电源。该方案对变压器的要求较高,需要准确计算出线圈匝数比,比较复杂,且输出电压不是很稳定,故此方案不予采纳。 方案二:使用TPS61087DRCT外部充电泵生成辅助电压。使用外部充电泵是从升压转换器生成辅助电压轨的一种灵活易用的方法。这些电压轨理论上可以是任何电压,正负均可,并且可以为需要两个或更多电压的任何应用供电。该方案设计电路简单,容易实现,可以满足设计要求。 综上所述:我们选择方案二。

宽带直流放大器的设计

宽带直流放大器地设计 电子信息工程专业学生:陈朝霞指导老师:许岳兵 摘要:本文以TI 公司地压控放大器VCA810 为核心,外加ADI 公司地运算放大器AD806 5 作前级,采用ST 公司地89C52 单片机控制系统增益,通过按键实现对小信号放大增益± 6 dB 步进可调,并通过1602 液晶实时显示.系统主要由前级缓冲模块,程控放大模块,人机交换模块,显示模块组成.整个系统结构简单,性能稳定,操作简单可靠. 关键词:程控放大;VCA810 ;STC89C52 1 引言 宽带放大器在自动控制系统,电子测量技术,智能仪表等领域应用非常广泛.传统放大 器由分立元件器搭建而成,且有地采用电容级间耦合方式,因此不具有直流放大能力,但在仪器仪表地应用中,也需要对直流信号或者偏置信号进行采集和还原,因此设计一款具有直流放大功能地宽带直流放大器是很有必要地.而宽带直流放大电路地发展中,为了满足 电路地更高性能与控制地便捷性,准确性,程控宽带直流放大电路应时而生.本文就是对程 控宽带直流放大器进行研究. 2 系统方案设计与论证 本文所设计地宽带直流放大器基本要求是3dB带宽为OHz?6MHz ;最大增益>40dB (100倍),增益值6dB步进可调,并实时显示增益;最大输出电压有效值>3V负载电 阻600 Q.根据设计功能要求,系统分为信号放大模块,控制模块和人机交换模块 2.1 方案比较与选择方案一:采用分立元件构成,利用高频三极管或场效应管差分对构成多级放大电路,通过负反馈电路来确定增益.但电路比较复杂,且零点漂移严重,难以实现直流信号地放大. 方案二:采用集成运放芯片级联.集成运放芯片使用比较简单,但精度高,且集成运放具有高放大倍数、高输入电阻、低输出电阻等优良性能.而对于实用地放大电路,通常要求 其输入电阻大,输出电阻小,集成运放刚好能满足上述要求. 方案选定:比较上述地两种方案,决定采用方案二. 2.2 系统方案描述 系统框图如图 1 所示,系统分为信号处理电路和控制电路两部分.信号处理电路主要由前级缓冲模块、可变增益放大模块组成.前级缓冲模块采用AD8065 电压反馈型芯片.可变增益放大器采用可控增益放大器VCA810. 系统通过STC89C52 实现控制,通过STC89C52 和按键控制DAC0832 地输入数字量,并在LCD1602 上实时显示该放大器地增益.

实验四线性宽带功率放大器

47 实验四 线性宽带功率放大器 一、实验目的 了解线性宽带功率放大器工作状态的特点 二、实验内容 1. 了解线性宽带功率放大器工作状态的特点 2. 掌握线性功率放大器的幅频特性 三、实验原理及实验电路说明 1. 传输线变压器工作原理 现代通信的发展趋势之一是在宽波段工作范围内能采用自动调谐技术,以便于迅速转换工作频率。为了满足上述要求,可以在发射机的中间各级采用宽带高频功率放大器,它不需要调谐回路,就能在很宽的波段范围内获得线性放大。但为了只输出所需的工作频率,发射机末级(有时还包括末前级)还要采用调谐放大器。当然,所付出的代价是输出功率和功率增益都降低了。因此,一般来说,宽带功率放大器适用于中、小功率级。对于大功率设备来说,可以采用宽带功放作为推动级同样也能节约调谐时间。 最常见的宽带高频功率放大器是利用宽带变压器做耦合电路的放大器。宽带变压器有两种形式:一种是利用普通变压器的原理,只是采用高频磁芯,可工作到短波波段;另一种是利用传输线原理和变压器原理二者结合的所谓传输线变压器,这是最常用的一种宽带变压器。 传输线变压器它是将传输线(双绞线、带状线或同轴电缆等)绕在高导磁芯上构成的,以传输线方式与变压器方式同时进行能量传输。图9-1为4:1传输线变压器。图9-2 为传输线变压器的等效电路图。

的扩展方法是相互制约的。为 了扩展下限频率,就需要增大 初级线圈电感量,使其在低频 段也能取得较大的输入阻抗, 如采用高磁导率的高频磁芯和 增加初级线圈的匝数,但这样 做将使变压器的漏感和分布电容增大,降低了上限频率;为了扩展上限频 率,就需要减小漏感和分布电容,如采用低磁导率的高频磁芯和减少线圈 的匝数,但这样做又会使下限频率提高。 把传输线的原理应用于变压器,就可以提高工作频率的上限,并解决 带宽问题。传输线变压器有两种工作方式:一种是按照传输线方式来工作, 即在它的两个线圈中通过大小相等、方向相反的电流,磁芯中的磁场正好 相互抵消。因此,磁芯没有功率损耗,磁芯对传输线的工作没有什么影响。 这种工作方式称为传输线模式。另一种是按照变压器方式工作,此时线圈 中有激磁电流,并在磁芯中产生公共磁场,有铁芯功率损耗。这种方式称 为变压器模式。传输线变压器通常同时存在着这两种模式,或者说,传输 变压器正是利用这两种模式来适应不同的功用的。 当工作在低频段时,由于信号波长远大于传输线长度,分布参数很小, 可以忽略,故变压器方式起主要作用。由于磁芯的磁导率很高,所以虽然 传输线段短也能获得足够大 的初级电感量,保证了传输 线变压器的低频特性较好。 图9-3传输线变压器高频段等效电路图 48

宽带放大器(王正齐)

宽带放大器 作者:王正齐陈华奇邓如岑(华中科技大学)编号:1-16 赛前辅导老师:尹仕文稿整理辅导老师:肖看 本设计利用可变增益宽带放大器AD603来提高增益和扩大AGC控制范围,通过软件补偿减小增益调节的步进间隔和提高准确度。输入部分采用高速电压反馈型运放OPA642作跟随器提高输入阻抗,并且在不影响性能的条件下给输入部分加了保护电路。使用了多种抗干扰措施以减少噪声并抑制高频自激。功率输出部分采用分立元件制作。整个系统通频带为1kHz~20MHz,最小增益0dB,最大增益80dB。增益步进1dB,60dB以下预置增益与实际增益误差小于0.2dB。不失真输出电压有效值达9.5V,输出4.5V-5.5V时AGC控制范围为66dB。 方案论证与比较 1 增益控制部分 方案一:原理框图如图1所示,场效应管工作在可变电阻区,输出信号取自电阻与场效应管与对'V的分压。采用场效应管作AGC控制可以达到很高的频率和很低的噪声,但温度、电源等的漂移将会引起分压比的变化,用这种方案很难实现增益的精确控制和长时间稳定。 方案二:采用可编程放大器的思想,将输入的交流信号作为高速D/A的基准电压,这时的D/A作为一个程控衰减器。理论上讲,只要D/A的速度够快、精度够高可以实现很宽范围的精密增益调节。但是控制的数字量和最后的增益(dB)不成线性关系而是成指数关系,造成增益调节不均匀,精度下降。 2所示,使用控制电压与增益成线性关系的可编程增益放大器 图1 方案一示意图

PGA ,用控制电压和增益(dB )成线性关系的可变增益放大器来实现增益控制。用电压控制增益,便于单片机控制,同时可以减少噪声和干扰。 综上所述,选用方案三,采用集成可变增益放大器AD603作增益控制。AD603是一款低噪声、精密控制的可变增益放大器,温度稳定性高,最大增益误差为0.5dB ,满足题目要求的精度,其增益(dB )与控制电压(V )成线性关系,因此可以很方便地使用D/A 输出电压控制放大器的增益。 2 功率输出部分 根据赛题要求,放大器通频带从10kHz 到6MHz ,单纯的用音频或射频放大的方法来完成功率输出,要做到6V 有效值输出难度较大,而用高电压输出的运放来做又很不现实,因为市面上很难买到宽带功率运放。这时候采用分立元件就能显示出优势来了。 3 测量有效值部分 方案一:利用高速ADC 对电压进行采样,将一周期内的数据输入单片机并计算其均方根值,即可得出电压有效值: ∑== n i i U N U 1 21 此方案具有抗干扰能力强、设计灵活、精度高等优点,但调试困难,高频时采样困难而且计算量大,增加了软件难度。 方案二:对信号进行精密整流并积分,得到正弦电压的平均值,再进行ADC 采样,利用平均值和有效值之间的简单换算关系,计算出有效值显示。只用了简单的整流滤波电路和单片机就可以完成交流信号有效值的测量。但此方法对非正弦波的测量会引起较大的误差。 方案三:采用集成真有效值变换芯片,直接输出被测信号的真有效值。这 图2 方案三示意图 输入缓冲

相关文档