文档库 最新最全的文档下载
当前位置:文档库 › Vivado生成自定义IP核及调用

Vivado生成自定义IP核及调用

Vivado生成自定义IP核及调用
Vivado生成自定义IP核及调用

1.准备好要用来生成ip核的模块

2.在菜单栏中选择“工具”(Tools)菜单,选择子菜单中的“生成并封装IP”(Create and

Package IP)。如图1所示。

图1.选择相应的菜单项

3.点击菜单项后出现如图2中向导窗口。

图2.选择菜单项之后出现的向导窗口

4.由于我是直接将一个工程打包成一个模块,然后供其他工程使用,所以在图2中点击“下

一步”(Next)按钮之后出现的窗口中我选择“打包封装当前项目”(Package your

current project)选项并点击“下一步”(Next)按钮,如图3所示。

图3. 选择封装选项

5.出现图4所示窗口后直接点击“下一步”(Next)按钮即可

图4. IP存放路径6.最后就是确定生成IP了

图5. IP生成向导确定窗口

7.之后出现封装IP相关的一些信息,如图6所示。在图6中可以设置IP核的名称等信息。

图6中左侧依次列出了一些信息。

图6. IP核信息页面

8.图7为IP核兼容性页面,我使用的是zed board,所以这里出现的是zynq芯片

图7. IP核兼容性信息

9.图8为IP核接口信息,图中显示了我所要封装的IP核的引脚

图8. IP核接口信息

10.图9为封装完毕后IP核的图形模块,在原理图调用时会显示

图9. 封装原理图

11.最下面是该IP核的一个摘要,此时IP核还没有生成,需要在“预览和生成”(Review and

Package)页面点击“封装IP”(Package IP)按钮,如图10下部分所示。由于截图过程中IP核已经生成,所以图10中显示的是重新封装IP(Re-Package IP)。

图10. 预览并生成IP核

至此IP核就完全生成了,下面就是如何调用IP核了。

1.首先打开工程,我使用的是原理图输入的方式,也就是说,在工程中建立原理图文

件,然后将模块放入原理图中并做相应的引脚连接。在原理图输入窗口点击右键出现图11所示的右键菜单。在该菜单中选择“IP设置”(IP Settings)子菜单选项。

图11. 原理图输入界面的右键菜单

2.选择IP Settings之后出现图12所示窗口,在该窗口的下半部分可以添加删除IP核,添

加时点击绿色加号“+”,删除时先选中要删除的IP核然后点击红色减号“-”。

图12. 添加删除IP核窗口

3.点击添加IP和的按钮之后会出现选择文件的窗口,如果生成IP核时使用的是默认路

径,则在生成IP核的项目的.srcs文件夹下的sources_1文件夹下面会生成一个

component.xml文件,如图13所示,此时选择该xml文件即可,然后可以在图12中看到相应的IP核。然后点击“确认”(OK)按钮退出IP Settings

图13. 添加IP核

4.添加完IP核到项目中之后就可以将IP核实例化到工程中了,同样在原理图输入界面中

右键,在弹出的右键菜单中选择“添加IP”(Add IP)子菜单,如图11所示。在点击“添加IP”子菜单选项后会弹出一个IP核搜索窗口,如图14所示。

图14. IP核搜索列表

5.在上面的搜索框中输入IP核的名字即可,结果如图15所示。图15中搜索了前面封装

的核。

图15. IP核搜索结果

6.在图15中双击想要添加的IP核,该IP核就会被添加到原理图中,如图16所示。图

16中下部分即使添加的自定义IP核模块。

图16. 添加自定义IP核之后的结果

相关文档