文档库 最新最全的文档下载
当前位置:文档库 › 阀类专门名词及缩写

阀类专门名词及缩写

阀类专门名词及缩写
阀类专门名词及缩写

阀类专门名词及缩写

有很多标准的缩写,是用以描述阀的型式,阀的特征,以及各种阀的零件;这些缩写,为制阀厂商一致的常用,因此,必须加以了解,最普通的缩写以及它们的意义,列举如下:

OS& Y Outside Screw and Yoke----外螺旋及轭,描述阀的结构。

NRS Non-Rising Stem-----无升杆,描述阀的结构及阀杆的动作。

RS Rising Stem----升杆,描述阀的结构及阀杆的动作.

WOG Water,Oil ,Gas----水,油,气体的额定压力,作用于有关的冷

液体及气体上。

CWP Cold Working Pressure ------冷工作压力。

WSP或SP Allowable Working Steam Pressure---允许的工作蒸汽压力。

“LPG”Liquified Petroleum Gas—液化石油。

IBBM Iron Body ------Bronze Mounted------铁体铜装,描述阀的结构。

All Iron All Iron construction ------全铁结构。

TE或SE Threaded End connection------螺纹端接。

FE Flanged End connection -------凸缘端接。

BWE或WE Butt Welding End connection----对头焊端接

SWE Socket Welding End connection-----套焊端接。

SJ Solder Joint End connection------软焊端接。

SB Silver Braze End connection-------银硬焊端接。

SIB Screwed Bonnet-----螺旋阀帽。

UB Union Bonnet------管套阀帽。

BB Bolted Bonnet-------螺栓阀帽。

ISRS Inside Screw Rising Stem-----内螺纹长杆。

ISNPS Inside Screw Non-Rising Stem-----内螺丝无升杆。

SW Solid Wedge ---- 实心尖劈。

DW Double Wedge ----- 双尖劈。

DD Double Disc ----- 双盘。

TD TFE Disc ----- TFE盘(Teflon, Halon 等等)。

FE Flat Face Flange ---- 平面凸缘。

RF Raised Face Flange ---- 高面凸缘。

LMF Large Male and Female Flange---- 大阴阳配凸缘。

SMF Small Male and Female Flange ----- 小阴阳配凸缘。

LF Large Female Flange ------ 大阴凸缘。

SF Small Female Flange ------ 小阴凸缘。

LM Large Male Flange ------ 大阳凸缘。

SM Small Male Flange ---- 小阳凸缘。

LTG Large Tongue and Groove Flange ----- 大舌槽凸缘。

STG Small Tongue and Groove Flange -------小舌槽凸缘。

LT Large Tongue Flange ----- 大标舌凸缘。

ST Small Tongue Flange ------ 小标舌凸缘。

LG Large Groove Flange ----- 大槽凸缘。

SG Small Groove Flange ----- 小槽凸缘。

RTJ Ring – Type Joint Flange --- 环式接头凸缘。

Int.S Integral Seat ----- 连体坐。

Ren.S Renewable Seat ---- 可换座。

IPS Iron Pipe Size ---- 铁管尺寸。

PSI Pounds Per Square Inch ---- 每平方英寸的磅数。

这些缩写,将在本书中用到。

专门名词在阀的设计,操作,以及性能中,有很多一致的专门名词,用在阀的规范上,这些名词,将在这一节中叙述。

可换件(Trim):是指阀的零件中,可以更换的部分,它们是常会磨损的,通常的可换件,如下表1-----1所示,它们是用于各种型式的阀上。

阿里系各个概念英文缩写名词解释大全

阿里系各个概念英文缩写名词解释大全!!! GMV成交笔数:拍下的订单笔数,包括未付款的。 GMV成交金额:拍下的订单总金额,包括未付款的。支付宝成交笔数:通过支付宝付款的订单笔数。 支付宝成交金额:通过支付宝付款的订单总金额(不是指确认收货完成了交易,仅指买家完成了付款的动作,因此可能会因为退款,这个数值比商家实际收到的款项高)。支付宝使用率:支付宝成交金额/GMV成交金额(珠宝66%,饰品82%) 支付宝使用率的辅助参数:支付宝笔数/GMV笔数(当支付宝使用率很低时,可用此参数看是否正常(80%以上)。因为采用限时折扣相关促销工具时,支付宝收到的款项是折扣价,销售记录中显示的是原价,会导致二者比率过低。)IPV:itemPageView,商品详情页面打开数。 IPV_UV:商品详情页面访客数。在线商品数:在线的商品数量(SKU)。

购买UV:通过支付宝付款的访客数。 店铺UV:到达店铺任何页面的独立访客数。 店铺PV:PageView,店铺所有页面的总打开数。 佣金:根据扣点百分比,和当日商家支付宝实际收到的金额实时划扣的佣金金额(确认收货后,卖家支付宝账户实际收到的款项为准)转化率1: IPV_UV/ 店铺UV(到达店铺的访客,有多少进入了商品详情页面。) 体现店铺首页、自定义页、分类页是否具备足够的导购能力。转化率2: 购买UV/ IPV_UV(到达商品详情页面的独立访客,有多少付款购买。)体现店铺单品页面图片、描述等是否能刺激购买。 店铺转化率:购买UV/店铺UV(转化率1*转化率2:到达店铺任意页面的访客,有多少付款购买。目前珠宝平均1.39%;饰品平均3.18%。)客单价:

常用汽车行业英文缩写及词汇

常用汽车行业英文缩写及词汇 Passenger V ehicle 乘用车 常用汽车行业英文缩写及词汇 1PP- First Phase of Production Prove-Out 第一次试生产 3C Customer(顾客导向)、Competition(竞争导向)、Competence(专长导向) 4S Sale, Sparepart零配件, Service, Survey信息反馈 5S 整理,整顿,清理,清洁,素养 8D- 8 Discipline ABS Anti-lock Braking System AIAG 美国汽车联合会 ANPQP Alliance New Product Quality Procedure Apportionment 分配 APQP Advanced Product Quality Plan Backlite Windshield 后窗玻璃 Benchmark Data 样件资料 bloodshot adj.充血的, 有血丝的 BMW Bavarian Motor Works C.P.M Certified Purchasing manger 认证采购经理人制度 CB- Confirmation Build 确认样车制造 CC- Change CutOff 设计变更冻结 CC\SC- critical/significant characteristic CCR Concern & Countermeasure Request CCT Cross Company Team Characteristics Matrix 特性矩阵图 COD Cash on Delivery 货到付现预付货款(T/T in advance) CP1- Confirmation Prototype 1st 第一次确认样车 CP2- Confirmation Prototype 2nd 第二次确认样车 Cpk 过程能力指数Cpk=Zmin/3 CPO Complementary Parts Order Craftsmanship 精致工艺 Cross-functional teams跨功能小组 CUV Car-Based Ultility Vehicle D1:信息收集;8D D2:建立8D小组; D3:制定临时的围堵行动措施,避免不良品流出; D4:定义和证实根本原因,避免再发; D5:根据基本原因制定永久措施; D6:执行和确认永久措施; D7:预防再发,实施永久措施;

石油行业英文缩写汇总

3DLO 3D long offset seismic survey三维长偏移距野外资料采集 3DHR-HR 3D High resolution –high ???seismic survey 三维高分辨-高密野外资料采集。AAC = adjusted AC; ABI inclination at the drill bit AC acoustic 声波时差 ACN =adjusted CN; ADN Azimuthal neotron density AIT* Array Induction Imager Tool A&S admistration&service AHC Ascendant Hierarchical Clustering ARC Induction Resistivity GR annulus pressure ingrated tool ARI Azimuth resistivity imager方位电阻率成像测井仪 APD Elevation of Depth Reference (LMF) above Permanent Datum APWD apparatus whle drilling ASI Array seismic imager阵列地震成像仪 A VG: Average A VO Amplitude Versus Offset(Amplitude variation with offset calibration)振幅-炮检距 关系 AZI: Azimuth (deg) BBC Buy Back Contract BGG: Background Gas (%) BGP 物探局 BHFP bottomhole flowing pressure BHS :Borehole Status BHT :Bottom Hole Temperature BHTA 声波幅度 BHTT 声波返回时间 BLWH Blue White BML below mud line BOP Blow out preventer BOP stack 防喷器组 BS Bit Size BSW basic?? saturation water(综合含水) CAL borehole diameter 井径 CAST 声波扫描成像测井仪 CBI Central Bank of Iran CBIL 井周声波成像 CBL Cement Bond Log CC correlation coefficient CCAL common core analysis常规岩心分析 CCL Casing Collar Locator CCM Contractors Committee Meeting CDF cumulative density function CDF Calibrated Downhole Force

机械行业专业名词缩写对照

缩写词拼写中文分类/关联度 AAR Audit Action Request审核措施要求质量 AD Advanced Development前期开发项目 ADD Average Daily Demand平均日需求物流 AE Automotive Experience汽车业务单元定义 AHTO Accelerated Humidity Test Operating加速适度测试Varitorinx APQP Advanced Product Quality Planning产品前期质量策划QS五大核心工具 AQE Advanced Quality Ensure前期质量保证质量 ASL Approved Supplier List合格供应商清单采购 AT Accumulation Time (delivery frequency)发货交付频率物流 BCU Body control units车身控制单元 BMG/产品工程性能检验认可大众汽车集团通用缩略语 BMP Bitmap位图文件的扩展名图片文件扩展名 BOM Bill of Material物料清单物流 BOS Business Operating System业务运作体系江森自控汽车系统集团综合管理体系BSf Buffer Stock for Forecast to Actual variation物料现况预测调节物流 BSp Buffer Stock for part level Usage Variation to ADD物料安全库存调节物流 BU Business Unit业务单位/业务单元定义 CA Change Authorization更改授权工程 CBS Continental Business System大陆业务系统大陆管理体系 CC Critical Characteristic关键特性工程 CI Commercial Invoice CEP Corporate Entry Program项目 CmK Machine capability index CN Change Notification更改通知工程 CP Control Plan控制计划工程 Cpk Process capability index过程能力指数质量 CR Change Request更改申请工程 CSC-team/大众采购审查团队-VW大众汽车集团通用缩略语 CW Clockwise顺时针方向 CCW Counter clockwise逆时针方向 CQR Continental Quality Requirements大陆质量需求质量 CV&AM Commercial vehicle & after market商务车售后部门 DCC Document Control Center文控中心质量 DCC Display Competence Centre部门 DFM Design for Manufacturing制造性设计工程 DMR发货错误索赔登记表采购 DOE Design of Experiment试验设计工程 DV Design Verification设计确认/设计验证工程

计算机所有专业术语和英文缩略语并解释完整

计算机所有专业术语和英文缩略语并解释完整 悬赏分:10 - 解决时间:2006-6-5 17:34 请大家指点详细一点啊!!! 提问者:Newall - 童生一级 最佳答案 英文术语完全介绍 1、CPU 3DNow!(3D no waiting) ALU(Arithmetic Logic Unit,算术逻辑单元) AGU(Address Generation Units,地址产成单元) BGA(Ball Grid Array,球状矩阵排列) BHT(branch prediction table,分支预测表) BPU(Branch Processing Unit,分支处理单元) Brach Pediction(分支预测) CMOS(Complementary Metal Oxide Semiconductor,互补金属氧化物半导体)CISC(Complex Instruction Set Computing,复杂指令集计算机) CLK(Clock Cycle,时钟周期) COB(Cache on board,板上集成缓存) COD(Cache on Die,芯片内集成缓存) CPGA(Ceramic Pin Grid Array,陶瓷针型栅格阵列) CPU(Center Processing Unit,中央处理器) Data Forwarding(数据前送) Decode(指令解码) DIB(Dual Independent Bus,双独立总线) EC(Embedded Controller,嵌入式控制器) Embedded Chips(嵌入式处理器) EPIC(explicitly parallel instruction code,并行指令代码) FADD(Floationg Point Addition,浮点加) FCPGA(Flip Chip Pin Grid Array,反转芯片针脚栅格阵列) FDIV(Floationg Point Divide,浮点除) FEMMS(Fast Entry/Exit Multimedia State,快速进入/退出多媒体状态) FFT(fast Fourier transform,快速热欧姆转换) FID(FID:Frequency identify,频率鉴别号码) FIFO(First Input First Output,先入先出队列) flip-chip(芯片反转) FLOP(Floating Point Operations Per Second,浮点操作/秒) FMUL(Floationg Point Multiplication,浮点乘) FPU(Float Point Unit,浮点运算单元) FSUB(Floationg Point Subtraction,浮点减) HL-PBGA(表面黏著,高耐热、轻薄型塑胶球状矩阵封装) IA(Intel Architecture,英特尔架构) ICU(Instruction Control Unit,指令控制单元) ID(identify,鉴别号码) IDF(Intel Developer Forum,英特尔开发者论坛)

汽车行业常用术语

汽车行业常用英文缩写术语(一) OTS:Off Tooling Sample 译为“工程样件”。 定义:在非生产节拍下,使用批量状态的工装生产的样件,用于验证产品的设计能力。 工程样件得到认可后形成的报告叫OTS认可报告,也叫工程认可报告。主要包括: 1.设计资料(图纸等设计资料); 2.PSW(产品保证书); 3.检验合格报告(尺寸、性能、外观合格报告); 4.样件控制计划(CP); 5.设计失效模式分析DFMEA(一般不提交); 6.实验报告及实验室资质证明; 7.材料(如金属、橡胶、塑料)的材质保证书或材质检测报告; 8.BOM表(分供方清单); 9.测量系统分析(MSA)等。以上均为供方提供,受到需方审核。需方反馈供方时,输出为 OTS认可报告。 SOP:Start Of Production 译为“开始量产”,即产品可以进行大批量生产了。 EOP:End of Production 译为“量产结束”,是指产品生命周期结束,停止量产,此后配件一般不再批量生产和提供,但为满足售后需要,有时还需要组织生产,但往往是按确定的订单来生产。 APQP:Advanced Product Quality Planning 译为“先期产品质量策划”,是QS9000/TS16949质量管理体系的一部分。 定义:是一种用来确定和制定确保某产品使顾客满意所需步骤的结构化方法。 目的: 1.引导资源,使顾客满意; 2.促进对所需更改的早期识别; 3.避免晚期更改; 4.以最低的成本及时提供优质产品。 FEMA:Failure Mode and Effect Analysis 译为“失效模式和效果分析”,是一种用来确定潜在失效模式及其原因的分析方法。是FMA(故障模式分析)和FEA(故障影响分析)的组合。 它对各种可能的风险进行评价、分析,以便在现有技术的基础上消除这些风险或将这些风险减小到可接受的水平。具体来说,通过实行FMEA,可在产品设计或生产工艺真正实现之前发现产品的弱点,可在原形样机阶段或在大批量生产之前确定产品缺陷。 FMEA包括:DFMEA和PFMEA DFMEA:Design?Failure?Mode and?Effects?Analysis 译为“设计失效模式及后果分析”,是指设计概念形成之时或之前开始,并且在产品

石油化工英语常用缩写

石油化工英语常用缩写(第一版) 序号缩写英文中文 1 AC Air Conditioning 空气调节装置 2 AGO Atmospheric gas oil 常压瓦斯油 3 AML Approved Manufacturers' List 批准的厂商名单 4 APE Area Project Engineer 区域项目工程师 5 AR Atmospheric residue 常压渣油 6 ARDS Atmospheric residue desulfurization 常压渣油加氢脱硫 7 ASME American Society of Mechanical Engineers 美国机械工程师协会 8 BD Business Director 商务主任 9 BD Business Development 市场部 10 BEDP Basic Design Engineering Package 基础设计包 11 BFW Boiler feed water 锅炉给水 12 BL Battery limits 界区 13 BEDD Basic Engineering Design Data 基础工程设计数据 14 BM Bill of Material 材料表 15 BOD Basis of Design 设计基础 16 BOD Biological Oxygen Demand 化学需氧量 17 BP Boiling point 沸点 18 BS Bright stock 光亮油 19 BSI British Standards Institute 英国标准协会 20 BTEX Benzene, toluene, ethyl benzene, xylene 苯,甲苯,乙苯,二甲苯 21 BTU British thermal unit 英热单位 22 BTX Benzene, toluene, xylene 苯,甲苯,二甲苯 23 C Construction 施工 24 CAD Computer Aided Design 计算机辅助设计 25 CADD Computer Aided Design and Drafting 计算机辅助设计和绘图 26 CCR Conradson carbon residue 康氏残炭 27 CCR Continuous Catalyst Regeneration 催化剂连续再生 28 CDU Crude distillation unit 原油蒸馏装置 29 CGO Coker gas oil 焦化瓦斯油 30 CI Cetane index 十六烷指数 31 CL Center line 中心线 32 CM Construction Manager 施工经理 33 CN Conference Note 会议纪要 34 COD Chemical oxygen demand 化学需氧量 35 CPDP Chinese Preliminary Design Package 中国初步设计包 36 CPM Critical Path Method 关键路径法 37 CR Catalytic Reforming 催化重整 38 CS Carbon Steel 碳钢 39 CW Cooling Water 冷却水 40 FEED Front End Engineering Design 前期工程设计 41 GB GUO BIAO 国标 42 GCD Guaranteed Completion Date 保证完成日期 43 GG Gauge glass 玻璃液面计 44 GHSV Gaseous hourly space velocity 气体体积空速 45 GPH Gas phase hydrogenation 气相加氢 46 GSN Global Supply Network 全球供应网络 47 GTG Gas Turbine Generator 燃气涡轮发电机 48 GW Gross weight 毛重 49 HAZID Hazard Identification Review 危险识别审查 50 HAZOP Hazard and Operability Study 危险与可操作性研究

临床试验与实验室中常见的中英文名词与缩写

中国创新药咨询与服务先锋CRO 临床试验以及实验室中常见的英文缩写

药物临床试验英文缩写 缩略语英文全称中文全称 ADE Adverse Drug Event 药物不良事件 ADR Adverse Drug Reaction 药物不良反应 AE Adverse Event 不良事件 AI Assistant Investigator 助理研究者 BMI Body Mass Index 体质指数 CI Co-investigator 合作研究者 COI Coordinating Investigator 协调研究者 CRC Clinical Research Coordinator 临床研究协调者 CRF Case Report Form 病历报告表 CRO Contract Research Organization 合同研究组织 CSA Clinical Study Application 临床研究申请 CTA Clinical Trial Application 临床试验申请 CTX Clinical Trial Exemption 临床试验免责 CTP Clinical Trial Protocol 临床试验方案 CTR Clinical Trial Report 临床试验报告 DSMB Data Safety and monitoring Board 数据安全及监控委员会 EDC Electronic Data Capture 电子数据采集系统 EDP Electronic Data Processing 电子数据处理系统 FDA Food and Drug Administration 美国食品与药品管理局 FR Final Report 总结报告 GCP Good Clinical Practice 药物临床试验质量管理规范GCP Good Laboratory Practice 药物非临床试验质量管理规范GMP Good Manufacturing Practice 药品生产质量管理规范 IB Investigator’s Brochure 研究者手册 IC Informed Consent 知情同意 ICF Informed Consent Form 知情同意书 ICH International Conference on Harmonization 国际协调会议 IDM Independent Data Monitoring 独立数据监察 IDMC Independent Data Monitoring Committee 独立数据监察委员会 IEC Independent Ethics Committee 独立伦理委员会 IND Investigational New Drug 新药临床研究 IRB Institutional Review Board 机构审查委员会 IVD In Vitro Diagnostic 体外诊断 IVRS Interactive Voice Response System 互动语音应答系统 MA Marketing A pproval/Authorization 上市许可证 MCA Medicines Control Agency 英国药品监督局 MHW Ministry of Health and Welfare 日本卫生福利部 NDA New Drug Application 新药申请 NEC New Drug Entity 新化学实体 NIH National Institutes of Health 国家卫生研究所(美国)

宏观经济学所有的专业词汇英文缩写及其翻译

宏观经济学所有的专业词汇英文缩写及其翻译accounting 会计 accounting cost 会计成本 accounting profit 会计利润 adverse selection 逆向选择 allocation 配置 allocation of resources 资源配置 allocative efficiency 配置效率 antitrust legislation 反托拉斯法 arc elasticity 弧弹性 Arrow's impossibility theorem 阿罗不可能定理Assumption 假设 asymetric information 非对称性信息 average 平均 average cost 平均成本 average cost pricing 平均成本定价法 average fixed cost 平均固定成本 average product of capital 资本平均产量average product of labour 劳动平均产量average revenue 平均收益 average total cost 平均总成本 average variable cost 平均可变成本

B barriers to entry 进入壁垒 base year 基年 bilateral monopoly 双边垄断 benefit 收益 black market 黑市 bliss point 极乐点 boundary point 边界点 break even point 收支相抵点 budget 预算 budget constraint 预算约束 budget line 预算线 budget set 预算集 C capital 资本 capital stock 资本存量 capital output ratio 资本产出比率 capitalism 资本主义 cardinal utility theory 基数效用论 cartel 卡特尔 ceteris puribus assumption “其他条件不变”的假设

汽车行业缩写

汽车行业常用缩写 AAR: Appearance Approval Report 外观批准报告 A/D/V: Analysis/Development/Validation 分析/开发/验证 A/D/V–DV: ADV Design Validation ADV设计验证 A/D/V P&R: Analysis/Development/Validation Plan and Report. This form is used to summarize the plan and results for validation testing. Additional information can be found in the GP-11 procedure. 分析/开发/验证计划和报告 A/D/V–PV: ADV Product Validation ADV产品验证 AIAG: Automotive Industries Action Group, an organization formed by General Motors, Ford and Daimler-Chrysler to develop common standards and expectations for automotive suppliers. 汽车工业行动集团 AP: Advance Purchasing 先期采购 APQP: Advanced Product Quality Planning 产品质量先期策划 APQP Project Plan: A one-page summary of the SGM APQP process that describes the tasks and the timeframe in which they occur. APQP项目策划 AQC:Attribute Quality Characteristic 属性质量特性 ASQE: Advanced Supplier Quality Engineer 先期供应商质量工程师 BIW: Body in White. Usually the bare metal shell of the body including doors and deck lid prior to paint and trim. 白车身 BOM: Bill of Materials 材料清单 BOP:Bill of Process 过程清单 Brownfield Site: An expansion of an existing facility. 扩建场地 CMM: Coordinate Measuring Machine 三坐标测试仪 Cpk: Capability Index for a stabile process 过程能力指数 CTC: Component Timing Chart (DRE document) 零部件时间表(DRE文件) CTS: Component Technical Specifications 零部件技术规范 CVER: Concept Vehicle Engineering Release 概念车工程发布 DC: Design Complete 设计完成 Defect outflow detection: A phrase used in the Supplier Quality Statement of Requirements that refers to in-process or subsequent inspection used to detect defects in parts. 缺陷检测 DFM/DFA: Design for Manufacturability / Design for Assembly 可制造性/可装配性设计 DFMEA: Design Failure Modes and Effects Analysis. It is used to identify the potential failure modes of a part, associated with the design, and establish a priority system for design improvements. 设计失效模式和后果分析

常用石油(英文)缩写

石油化工英语常用缩写(第一版)(https://www.wendangku.net/doc/7214143284.html,石油软件下载) 序号缩写英文中文 1 AC Air Conditioning 空气调节装置 2 AGO Atmospheric gas oil 常压瓦斯油 3 AML Approved Manufacturers' List 批准的厂商名单 4 APE Area Project Engineer 区域项目工程师 5 AR Atmospheric residue 常压渣油 6 ARDS Atmospheric residue desulfurization 常压渣油加氢脱硫 7 ASME American Society of Mechanical Engineers 美国机械工程师协会 8 BD Business Director 商务主任 9 BD Business Development 市场部 10 BEDP Basic Design Engineering Package 基础设计包 11 BFW Boiler feed water 锅炉给水 12 BL Battery limits 界区 13 BEDD Basic Engineering Design Data 基础工程设计数据 14 BM Bill of Material 材料表 15 BOD Basis of Design 设计基础 16 BOD Biological Oxygen Demand 化学需氧量 17 BP Boiling point 沸点 18 BS Bright stock 光亮油 19 BSI British Standards Institute 英国标准协会 20 BTEX Benzene, toluene, ethyl benzene, xylene 苯,甲苯,乙苯,二甲苯 21 BTU British thermal unit 英热单位 22 BTX Benzene, toluene, xylene 苯,甲苯,二甲苯 23 C Construction 施工 24 CAD Computer Aided Design 计算机辅助设计 25 CADD Computer Aided Design and Drafting 计算机辅助设计和绘图 26 CCR Conradson carbon residue 康氏残炭 27 CCR Continuous Catalyst Regeneration 催化剂连续再生 28 CDU Crude distillation unit 原油蒸馏装置 29 CGO Coker gas oil 焦化瓦斯油 30 CI Cetane index 十六烷指数 31 CL Center line 中心线 32 CM Construction Manager 施工经理 33 CN Conference Note 会议纪要 34 COD Chemical oxygen demand 化学需氧量 35 CPDP Chinese Preliminary Design Package 中国初步设计包 36 CPM Critical Path Method 关键路径法 37 CR Catalytic Reforming 催化重整 38 CS Carbon Steel 碳钢 39 CW Cooling Water 冷却水 40 FEED Front End Engineering Design 前期工程设计 41 GB GUO BIAO 国标 42 GCD Guaranteed Completion Date 保证完成日期

物流名词的缩写全称中英文对照

物流名词的缩写全称中英文对照 3G 3rd Generation Networks 第三代网络 3PL 3rd Party Logistics 第三方物流 4PL 4th Party Logistics 第四方物流 5-S Seiri, Seiton, Seiso, Seiketsu, Shitsuke 常组织、常整顿、常规范、常自律 A AMPS Administrative Monetary Penalty System 行政罚款制度 API Application Programming Interface 程序设定接口 ARENA Asia North America Eastbound Rate Agreement 亚洲北美东向运输协会 ASME American Society of Mechanical Engineers 机械工程师美洲协 ASP Active Sever Page 动态伺服端网页 AS/RS Automated Storage and Retrieval System 自动化存储和修复系统操作 AWB Air Waybill 空运提单 B B2B Business to Business 企业与企业之间的交易 B2C Business to Customer 企业与客户之间的交易 BAF Bunker Adjustment Factor 燃油附加费 B/B Break Bulk 散件杂货 BCC Blind Carbon Copy 密件抄送 BCO Beneficial Cargo Owner 货物拥有者权益 BIMCO The Baltic and International Maritime Council 波罗的海国际海运公会 B/L Bill of Lading 海运提单 BPO Business Process Outsourcing 企业外判程序 BSP British Standard Pipe Tread 英国标准的管子踩踏 C CAF Currency Adjustment Factor 币值附加费 CBM Cubic Metre 立方米 C&F Cost and Freight 成本和运费 CC Carbon Copy 抄送 CDMA Code Division Multiple Address 分发(部门)若干地址编码CEPA Closer Economic Partnership Arrangement 更紧密经贸关系的安排 CFM Cubic Feet per Minute 每分钟的立方尺 CFR Cost and Freight 成本和运费 CFS Container Freight Station 集装箱货物集散站 CGI Common Gateway Interface CGI 界面 CIF Cost, Insurance and Freight 成本和运费兼付保险费 CILT The Chartered Institute of Logistics and Transport 英国特许物流运输学会 CIM The Chartered Institute of Marketing 英国特许市务学会 CIS Commonwealth of Independent States 独立国家联合体 CLM The Council of Logistics Management 物流管理学会 CIP Carriage and Insurance Paid To 兼付指定运费及保险费 CLP Container Load Plan 集装箱/装载计划表 CNOOC China National Offshore Oil Corp. 中国海洋石油总公司 C/O Certificate of Origin 产地来源证 C.O.C. Carrier's Own Container 船东提供集装箱 C.D. Cash On Delivery 交货付现 COFC Container-on-flatcar 集装箱输送 COSCO China Ocean Shipping Company 中国远洋运输公司COSL China Oilfield Services Limited 中海油田服务股份有限公司CPFR Collaborative Planning Forecasting and Replenishment 预报和补充合作计划 CPT Carriage Paid To 兼付指定运费 CRM Customer Relationship Management 客户关系管理

计算机网络名词 英文缩写解释大全

计算机网络名词 英文缩写解释大全 计算机网络名词 英文缩写解释大全 AAL ATM适配层ATM Adaptation Layer ABR 可用比特率Available Bit Rate  ACR 衰减串扰比  ADPCM 自适应差分PCM ADSL 非对称数字环路Asymmetric Digital Subscriber Line AMI ATM Management Interface AMPS 先进型移动电话系统Advanced Mobile Phone System ANS 高级网络与服务Advanced Networks and Services ANSI 美国国家标准协会American National Standard Institute APON 无源光纤网络  ARP 地址解析协议Address Resolution Protocol ARQ 自动重发请求Automatic Repeat Request AS 自制系统Autonomous System ASIC Application Specific Integrated Circuit(Chip)

ASN.1 Abstract Syntax Notation One ATD 异步时分复用Asynchronous Time Division ATM 异步传输模式Asynchronous Transfer Mode BBS 电子公告板Bulletin Board System BER 误比特率bit error rate BGP 边界网关协议Border Gateway Protocol BICMOS 双极型CMOS BIP-8 Bit Interleaved Parity-8 B-ISDN 宽带综合业务数字网Broadband Integrated Services Digital Network BMI Bus-Memory Interface BOOTP 引导协议BOOTstrapping Protocol BRI 单一ISDN基本速率  BUS 广播和未知服务器Broadcast/Unknown Server CAC 连接接纳控制Connection Admission Control CATV 公用天线电视  CBDS 无连接宽带数据服务  CBR 连续比特率Continuous Bit Rate  CCITT 国际电话电报咨询委员会  CD Carrier Detect

石油行业英文缩写汇总--精选.doc

3DLO 3DHR-HR 3D long offset seismic survey 三维长偏移距野外资料采集 3D High resolution–high ???seismic survey三维高分辨-高密野外资料采集。 AAC = adjusted AC; ABI inclination at the drill bit AC ACN ADN AIT* A&S AHC ARC ARI acoustic 声波时差 =adjusted CN; Azimuthal neotron density Array Induction Imager Tool admistration&service Ascendant Hierarchical Clustering Induction Resistivity GR annulus pressure ingrated tool Azimuth resistivity imager方位电阻率成像测井仪 APD Elevation of Depth Reference (LMF) above Permanent Datum APWD ASI AVG: AVO apparatus whle drilling Array seismic imager 阵列地震成像仪 Average Amplitude Versus Offset(Amplitude variation with offset calibration) 振幅 -炮检距关系 AZI: BBC BGG: Azimuth (deg) Buy Back Contract Background Gas (%) BGP 物探局 BHFP bottomhole flowing pressure BHS :Borehole Status BHT :Bottom Hole Temperature BHTA BHTT 声波幅度 声波返回时间 BLWH Blue White BML below mud line BOP Blow out preventer BOP stack 防喷器组 BS Bit Size BSW basic?? saturation water(综合含水) CAL borehole diameter 井径 CAST 声波扫描成像测井仪 CBI Central Bank of Iran CBIL 井周声波成像 CBL CC CCAL CCL CCM CDF CDF Cement Bond Log correlation coefficient common core analysis 常规岩心分析 Casing Collar Locator Contractors Committee Meeting cumulative density function Calibrated Downhole Force

相关文档