文档库 最新最全的文档下载
当前位置:文档库 › 微处理器系统结构与嵌入式系统设计(第2版) 第5章答案

微处理器系统结构与嵌入式系统设计(第2版) 第5章答案

微处理器系统结构与嵌入式系统设计(第2版) 第5章答案
微处理器系统结构与嵌入式系统设计(第2版) 第5章答案

5.10 用16K×1位的DRAM芯片组成64K×8位存储器,要求:

(1) 画出该存储器的组成逻辑框图。

(2) 设存储器读/写周期为0.5μS, CPU在1μS内至少要访问一次。试问采用哪种刷新方式比较合理?两次刷新的最大时间间隔是多少?对全部存储单元刷新一遍所需的实际刷新时间是多少?

(1)组建存储器共需DRAM芯片数N=(64K*8)/(16K*1)=4*8(片)。

每8片组成16K×8位的存储区,A13~A0作为片内地址,用A15、A14经2:4译码器产生片选信号,逻辑框图如下(图有误:应该每组8片,每片数据线为1根)

(2)设16K×8位存储芯片的阵列结构为128行×128列,刷新周期为2ms。因为刷新每行需0.5μS,则两次(行)刷新的最大时间间隔应小于:

为保证在每个1μS内都留出0.5μS给CPU访问内存,因此该DRAM适合采用分散式或异步式刷新方式,而不能采用集中式刷新方式。

●若采用分散刷新方式,则每个存储器读/写周期可视为1μS,前0.5μS用于读写,后

0.5μS用于刷新。相当于每1μS刷新一行,刷完一遍需要128×1μS=128μS,满足刷新周期小于2ms的要求;

●若采用异步刷新方式,则应保证两次刷新的时间间隔小于15.5μS。如每隔14个读写周期刷新一行,相当于每15μS刷新一行,刷完一遍需要128×15μS=1920μS,满足刷新周期小于2ms的要求;

需要补充的知识:

刷新周期:从上一次对整个存储器刷新结束到下一次对整个存储器全部刷新一遍为止的时间间隔。刷新周期通常可以是2ms,4ms或8ms。

DRAM一般是按行刷新,常用的刷新方式包括:

●集中式:正常读/写操作与刷新操作分开进行,刷新集中完成。

特点:存在一段停止读/写操作的死时间,适用于高速存储器。

(DRAM 共128行,刷新周期为2ms ,读/写/刷新时间均为0.5μS )

● 分散式:一个存储系统周期分成两个时间片,分时进行正常读/写操作和刷新操作。

特点:不存在停止读/写操作的死时间,但系统运行速度降低。

(DRAM 共128行,刷新周期为128μs ,tm =0.5μS 为读/写时间,tr =0.5μS 为刷新时间,

tc =1μS 为存储周期)

● 异步式:前两种方式的结合,每隔一段时间刷新一次,只需保证在刷新周期内对整

个存储器刷新一遍。

5.11若某系统有24条地址线,字长为8位,其最大寻址空间为多少?现用 SRAM2114(1K*4)存储芯片组成存储系统,试问采用线选译码时需要多少个2114存储芯片?

该存储器的存储容量=224 *8bit=16M 字节 需要SRAM2114(1K*4)存储芯片数目:14*2=28片

5.12 在有16根地址总线的机系统中画出下列情况下存储器的地址译码和连接图。

(1)采用8K*1位存储芯片,形成64KB 存储器。 (2)采用8K*1位存储芯片,形成32KB 存储器。 (3)采用4K*1位存储芯片,形成16KB 存储器。

由于地址总线长度为16,故系统寻址空间为16

264K bit ?=?88

(1)8K*1位存储芯片地址长度为13,构成64KB 存储器需要8组,每组8个8K*1位存储芯片,地址译码表为:

共需8片8K*1位存储

芯片

红色为片选

第一组 地址范围 0000H~

1FFFH 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 第二组 地址范围 2000H~ 3FFFH 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 第三组 地址范围 4000H~ 5FFFH

0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 第四组 地址范围 6000H~ 7FFFH

0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 第五组 地址范围 8000H~

9FFFH

1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0

1 1 1 1 1 1 1 1 1 1 1 1 1 第六组 地址范围 0A000H~ 0BFFFH 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 第七组 地址范围 0C000H~ 0DFFFH 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 第八组 地址范围 0E000H~ 0FFFFH 1 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1

1

1 1 1 1 1 1 1 1 1 1 1 1

其连线图如下:

74LS138

CS

EN

A

B C Q0Q1Q2Q3Q4Q5Q6Q7

8K*1位存储芯片

AB RD WR

CS

D

AB RD

WR

CS

D

AB RD WR

CS

D

AB RD

WR

CS

D

数据总线D

A0~A128

7

2

1

...A15A14A13

(2)8K*1位存储芯片地址长度为13,构成32KB 存储器需要4组,每组8个8K*1位存储芯片,地址译码表为:

共需4片

8K*1位存储芯片

红色为片选 第一组 地址范围 0000H~

1FFFH

0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 第二组 地址范围

2000H~ 3FFFH 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 第三组 地址范围

4000H~ 5FFFH

0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 第四组 地址范围 6000H~ 7FFFH

0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1

1

1 1 1 1 1 1 1 1 1 1 1 1

其连线图如下:

74LS138

CS

EN

A

B C Q0Q1Q2Q3Q4Q5Q6Q7

8K*1位存储芯片

AB RD WR

CS

D

AB RD

WR

CS

D

AB RD

WR

CS

D

AB RD

WR

CS

D

数据总线D

A0~A124

3

2

1

A15A14A13

(3)4K*1位存储芯片地址长度为12,构成16KB 存储器需要4组,每组8个4K*1位存储芯片,地址译码表为:

A15 A14 A13 A12 A11 A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 A0 共需4片

4K*1位存储芯片

红色为片选 第一片 地址范围 0000H~

0FFFH

0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 第二片 地址范围

1000H~ 1FFFH 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 第三片 地址范围

2000H~ 2FFFH

0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 1 1 1 1 1 1 1 1 1 1 1 1 第四片 地址范围 3000H~ 3FFFH

0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1

1

1 1 1 1 1 1 1 1 1 1 1 1

其连线图如下:

方案一:

74LS138

CS

EN A

B C Q0Q1Q2Q3Q4Q5Q6Q7

4K*1位存储芯片

AB RD WR

CS

D

AB RD

WR

CS

D

AB RD

WR

CS

D

AB RD

WR

CS

D

数据总线D

A0~A114

3

2

1

A15A14

A13A12

方案二:

74LS138

CS

EN

A

B C Q0Q1Q2Q3Q4Q5Q6Q7

8K*1位存储芯片

AB RD WR

CS D

AB RD WR

CS D

AB RD WR

CS D

AB RD WR

CS D

数据总线D

A0~A11

4

3

2

1

A15A14A13

A12

A12

5.13试为某8位计算机系统设计一个具有8KB ROM 和40KB RAM 的存储器。要求ROM 用EPROM 芯片2732组成,从0000H 地址开始;RAM 用S RAM 芯片6264组成,从4000H 地址开始。

查阅资料可知,2732容量为4K ×8(字选线12根),6264容量为8K ×8(字选线13根),

因此本系统中所需芯片数目及各芯片地址范围应如下表所示:

A15 A14 A13 A12 A11 A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 共需2片

2732构成系统ROM 红色为片选 第一片 地址范围 0000H~ 0FFFH 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 第二片 地址范围

1000H~ 1FFFH

0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 共需5片

6264构成系统RAM 红色为片选 第一片 地址范围 4000H~ 5FFFH 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 1 1 1 1 1 1 1 1 1 1 1 1 第二片 地址范围 6000H~ 7FFFH

0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 第三片 地址范围 8000H~ 9FFFH 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 1 1 1 1 1 1 1 1 1 1 1 第四片 地址范围 0A000H~

0BFFFH

1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0 1

1 1 1 1 1 1 1 1 1 1 1 1 第五片 地址范围 0C000H~ 0DFFFH 1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0

1

1 1 1 1 1 1 1 1 1 1 1

硬件连线方式之一如下图所示:

说明:

①8位微机系统地址线一般为16位。采用全译码方式时,系统的A 0~A 12直接与6264的13根地址线相连,系统的A 0~A 11直接与2732的12根地址线相连。片选信号由74LS138译码器产生,系统的A 15~A 13作为译码器的输入。

②各芯片的数据总线(D 0~D 7)直接与系统的数据总线相连。 ③各芯片的控制信号线(RD 、WR )直接与系统的控制信号线相连。

5.14试根据下图EPROM 的接口特性,设计一个EPROM 写入编程电路,并给出控制软件的流程。

A 14

CS 6 EN C 2 B 1 A 0

A 0-A 11 RD WR D 0-D 7

AB CS

2732

WR

D0-7

AB CS 2732

WR

D0-7

AB CS 6264

RD WR

D0-7

A 12 A 13 A 15 38译码器 A 0-A 12 RD WR

AB CS

6264

RD WR

D0-7

……

……

未用

1 2 1 5 ……

+12V

07

~O O 013~A A CE PGM

OE

VCC VPP GND

高位地址译码编程控制信号

07

~D D 07~A A RD

+5V

EPROM 写入编程电路设计如下图所示:

+12V

07

~O O 013~A A CE PGM

OE

VCC VPP GND

高位地址译码编程控制信号

07

~D D 07

~A A RD

+5V

控制模块

控制软件流程: (1) 上电复位;

(2) 高位地址译码信号CE 为电平”0”有效,对存储器对应0000H~1FFFH 地址的数

据依次进行写入操作,每个字节写入过程为:OE 信号为电平”1”无效(写模式),PGM 信号为电平”0”有效(编程控制模式),在PGM 信号有效期间控制模块

送出数据信号,对EPROM 存储器进行写入编程操作。

(3) 存储器地址为1FFFH 时,写入操作完成,控制软件停止对EPROM 的编程状态,

释放对OE 信号和PGM 信号的控制。

5.15试完成下面的RAM 系统扩充图。假设系统已占用0000~ 27FFH 段内存地址空间,并拟将后面的连续地址空间分配给该扩充RAM 。

译码器输出 A15~A14 A13

A12

A11

A10~A0 地址空间 /Q0 00

0 0 0 0000000000~11111111111

0000H~07FFH /Q1 0 0 1 0800H~0FFFH /Q2 0 1 0

1000H~17FFH /Q3 0 1 1 1800H~1FFFH /Q4 1 0

2000H~27FFH /Q5 1 0 1 0 000000000~1111111111

2800H~2BFFH 1 2C00H~2FFFH

/Q6 1 1 0 /Q7 1

1

1

5.16某计算机系统的存储器地址空间为A8000H~CFFFFH ,若采用单片容量为16K*1位的SRAM 芯片, (1)系统存储容量为多少?

(2)组成该存储系统共需该类芯片多少个? (3)整个系统应分为多少个芯片组?

(1)该计算机系统的存储器地址空间为A8000H~CFFFFH ,系统存储容量为:

(D0000H-A8000H)8bit=28000H*8bit=160KB

(2)单片容量为16K*1为的SRAM 芯片的存储容量为16Kbit=2KB

组成该存储系统共需该类芯片160KB/2KB=80个

(3)系统位宽为16bit ,则每组芯片组需要16个单片容量为16K*1为的SRAM 芯片 所有整个系统应分为80/16=5组芯片。

系统

A11

A12 A13 A14 A15 A10

5.17 由一个具有8个存储体的低位多体交叉存储体中,如果处理器的访存地址为以下八进制值。求该存储器比单体存储器的平均访问速度提高多少(忽略初启时的延时)?

(1)10018,10028,10038,…,11008

(2)10028,10048,10068,…,12008

(3)10038,10068,10118,…,13008

低位多体交叉存储体包含8个存储体,故处理器每次可同时访问相邻8个地址的数据(1)访存地址为相邻地址,故存储器比单体存储器的平均访问速度提高8倍;

(2)访存地址为间隔2个地址,故存储器比单体存储器的平均访问速度提高4倍;

(3)访存地址为间隔3个地址,将访存地址转换为十进制数为3、6、9、12、15、18、

21、24、27,分别除8的余数为3、6、1、4、7、1、5、0、3,故存储器比单体存储器

的平均访问速度提高8倍。

微处理器系统与嵌入式系统1—7章最全答案合集

“微处理器系统原理与嵌入式系统设计”第一章习题解答 1.1 什么是程序存储式计算机? 程序存储式计算机指采用存储程序原理工作的计算机。 存储程序原理又称“·诺依曼原理”,其核心思想包括: ●程序由指令组成,并和数据一起存放在存储器中; ●计算机启动后,能自动地按照程序指令的逻辑顺序逐条把指令从存储器中 读出来,自动完成由程序所描述的处理工作。 1.2 通用计算机的几个主要部件是什么? ●主机(CPU、主板、存); ●外设(硬盘/光驱、显示器/显卡、键盘/鼠标、声卡/音箱); 1.3 以集成电路级别而言,计算机系统的三个主要组成部分是什么? 中央处理器、存储器芯片、总线接口芯片 1.4 阐述摩尔定律。 每18个月,芯片的晶体管密度提高一倍,运算性能提高一倍,而价格下降一半。 1.5 讨论:摩尔定律有什么限制,可以使用哪些方式克服这些限制?摩尔定律还会持续多久?在摩尔定律之后电路将如何演化? 摩尔定律不能逾越的四个鸿沟:基本大小的限制、散热、电流泄露、热噪。具体问题如:晶体管体积继续缩小的物理极限,高主频导致的高温…… 解决办法:采用纳米材料、变相材料等取代硅、光学互联、3D、加速器技术、多核…… (为了降低功耗与制造成本,深度集成仍是目前半导体行业努力的方向,但这不可能永无止,因为工艺再先进也不可能将半导体做的比原子更小。用作绝缘材料的二氧化硅,已逼近极限,如继续缩小将导致漏电、散热等物理瓶颈,数量集成趋势终有终结的一天。一旦芯片上线条宽度达到纳米数量级时,相当于只有几个分子的大小,这种情况下材料的物理、化学性能将发生质的变化,致使采用现行工艺的半导体器件不能正常工作,摩尔定律也就要走到它的尽头了。业界专家预计,芯片性能的增长速度将在今后几年趋缓,一般认为摩尔定律能再适用10年左右,其制约的因素一是技术,二是经济。)

微机原理课后习题答案第二章微处理器和指令系统习题答案

2.9如果GDT寄存器值为0013000000FFH,装人LDTR的选择符为0040H,试问装人描述符高速缓存的LDT描述符的起始地址是多少? 解:GDT寄存器的高32位和低16位分别为GDT的基址和段限,所以:GDT的基址=00130000H LDTR选择符的高13位D15~D3=000000001000B是该LDT描述符在GDT中的序号,所以: LDT描述符的起始地址= GDT的基址 十LDT描述符相对于GDT基址的偏移值 =00130000H+8×8=00130040H 2.10假定80486工作在实模式下,(DS)=1000H, (SS)=2000H, ( SI ) = El07FH, ( BX )=0040H, (BP) = 0016H,变量TABLE的偏移地址为0100H。请间下列指令的源操作数字段是什么寻址方式?它的有效地址(EA)和物理地址(PA)分别是多少? (1)MOV AX,[1234H ] (2) MOV AX, TABLE (3) MOV AX,[BX+100H] (4) MOV AX,TABLE[BPI[SI] 解:(1)直接寻址,EA=1234H , PA =(DS)×16+EA=11234H。 (2)直接寻址,EA= O100H,PA= (DS)×16+EA=10100H。 (3)基址寻址,EA=( EBX)+100H =0140H,PA= (DS) × 16+EA=10140H。 (4)带位移的荃址加变址寻址。(EA)= (BP)+[SI]十TABLE的偏移地址=0195H PA=(SS)×16+EA=20195H} 2.11下列指令的源操作数字段是什么寻址方式? (1)MOV EAX , EBX (2)MOV EAX,[ ECX] [EBX ] (3) MOV EAX,[ESI][EDX * 2] (4)MOV EAx,[ ESI*8] 解:(1)寄存器寻址。 (2)基址加变址寻址。 (3)基址加比例变址寻址。 (4)比例变址寻址。 2.12分别指出下列指令中源操作和目的操作数的寻址方式。 式表示出EA和PA。 (1)MOV SI,2100H (2)MOV CX, DISP[BX] (3) MOV [SI] ,AX (4)ADC AX,[BX][SI] (5)AND AX,DX (6) MOV AX,[BX+10H] (7) MOV AX,ES:[BX] (8) MOV Ax, [BX+SI+20H] (9) MOV [BP ].CX (10) PUSH DS 解:(1) 源操作数是立即数寻址;目的操作数是寄存器寻址。 (2)源操作数是基址寻址,EA=(BX)+DISP,PA=(DS)×16+(BX)+DISP 目的操作数是寄存器寻址。 (3)源操作数是寄存器寻址; 目的操作数是寄存器间接寻址,EA=(SI).PA=(DS) × 16十(SI)。 (4)操作数是基址加变址寻址,EA= (BX)+(SI).PA= (DS) × 16十(BX)+(SI) 目的操作数是寄存器寻址。 (5)源操作数和目的操作数均为寄存器寻址。 (6)源操作数是基址寻址,EA=(BX)+10H.PA= (DS) × 16十(BX)+10H 目的操作数是寄存器寻址。 (7)源操作数是寄存器间接寻.EA= (Bx).PA= (ES) × 16+(BX)

嵌入式微处理器系统读书报告

《嵌入式微处理器系统》专题读书报告 姓名:全妤

1、引言 随着医疗电子、智能家居、物流管理和电力控制等方面的不断风靡,嵌入式系统利用自身积累的底蕴经验,重视和把握这个机会,想办法在已经成熟的平台和产品基础上与应用传感单元的结合,扩展物联和感知的支持能力,发掘某种领域物联网应用。作为物联网重要技术组成的嵌入式系统,嵌入式系统的视角有助于深刻地、全面地理解物联网的本质。 2、嵌入式系统的概念 嵌入式系统被定义为以应用为中心、计算机技术为基础、软件硬件可裁剪、适应应用系统对功能、可靠性、成本、体积功耗严格要求的专用计算机系统。 2.1嵌入式系统的组成 一个嵌入式系统装置一般都由嵌入式计算机系统和执行装置组成。嵌入式计算机系统是整个嵌入式系统的核心,由硬件层、中间层、系统软件层和应用软件层组成。执行装置也称为被控对象,它可以接受嵌入式计算机系统发出的控制命令,执行所规定的操作或任务。 2.1.1 硬件层 硬件层中包含嵌入式微处理器、存储器(SDRAM、ROM、Flash等)、通用设备接口和I/O接口(A/D、D/A、I/O等)。

在一片嵌入式处理器基础上添加电源电路、时钟电路和存储器电路,就构成了一个嵌入式核心控制模块。其中操作系统和应用程序都可以固化在ROM中。 1)嵌入式微处理器 嵌入式系统硬件层的核心是嵌入式微处理器,嵌入式微处理器与通用CPU最大的不同在于嵌入式微处理器大多工作在为特定用户群所专用设计的系统中,它将通用CPU许多由板卡完成的任务集成在芯片内部,从而有利于嵌入式系统在设计时趋于小型化,同时还具有很高的效率和可靠性。 2)存储器 嵌入式系统需要存储器来存放和执行代码。嵌入式系统的存储器包含Cache、主存和辅助存储器。 3)通用设备接口和I/O接口 嵌入式系统和外界交互需要一定形式的通用设备接口,如A/D、D/A、I/O等,外设通过和片外其他设备的或传感器的连接来实现微处理器的输入/输出功能。每个外设通常都只有单一的功能,它可以在芯片外也可以内置芯片中。外设的种类很多,可从一个简单的串行通信设备到非常复杂的802.11无线设备。

微处理器系统结构与嵌入式系统设计(第2版) 第3章答案

“微处理器系统原理与嵌入式系统设计”第三章习题解答 3.1处理器有哪些功能?说明实现这些功能各需要哪些部件,并画出处理器的基本结构图。 处理器的基本功能包括数据的存储、数据的运算和控制等功能。其有5个主要功能:①指令控制②操作控制③时间控制④数据加工⑤中断处理。其中,数据加工由ALU 、移位器和寄存器等数据通路部件完成,其他功能由控制器实现。处理器的基本结构图如下: 寄存器组 控制器 整数单元 浮点单元 数据通路 处理器数据传送 到内存数据来自内存数据传送到内存指令来自内存 3.2处理器内部有哪些基本操作?这些基本操作各包含哪些微操作? 处理器基本操作有:取指令、分析指令、执行指令。 取指令:当程序已在存储器中时,首先根据程序入口地址取出一条程序,为此要发出指令地址及控制信号。 分析指令:对当前取得的指令进行分析,指出它要求什么操作,并产生相应的操作控制命令。 执行指令:根据分析指令时产生的“操作命令”形成相应的操作控制信号序列,通过运算器、存储器及输入/输出设备的执行,实现每条指令的功能,其中包括对运算结果的处理以及下条指令地址的形成。 3.3什么是冯·诺伊曼计算机结构的主要技术瓶颈?如何克服? 冯·诺伊曼计算机结构的主要技术瓶颈是数据传输和指令串行执行。可以通过以下方案克服:采用哈佛体系结构、存储器分层结构、高速缓存和虚拟存储器、指令流水线、超标量等方法。

3.5指令系统的设计会影响计算机系统的哪些性能? 指令系统是指一台计算机所能执行的全部指令的集合,其决定了一台计算机硬件主要性能和基本功能。指令系统一般都包括以下几大类指令。:1)数据传送类指令。(2)运算类指令 包括算术运算指令和逻辑运算指令。(3)程序控制类指令 主要用于控制程序的流向。 (4)输入/输出类指令 简称I/O 指令,这类指令用于主机与外设之间交换信息。 因而,其设计会影响到计算机系统如下性能: 数据传送、算术运算和逻辑运算、程序控制、输入/输出。另外,其还会影响到运算速度以及兼容等。 3.9某时钟速率为2.5GHz 的流水式处理器执行一个有150万条指令的程序。流水线有5段,并以每时钟周期1条的速率发射指令。不考虑分支指令和乱序执行带来的性能损失。 a)同样执行这个程序,该处理器比非流水式处理器可能加速多少? b)此流水式处理器是吞吐量是多少(以MIPS 为单位)? a.=51p T nm S T m n =≈+-串流水 速度几乎是非流水线结构的5倍。 b.2500M IPS p n T T =≈流水 3.10一个时钟频率为2.5 GHz 的非流水式处理器,其平均CPI 是4。此处理器的升级版本引入了5级流水。然而,由于如锁存延迟这样的流水线内部延迟,使新版处理器的时钟频率必须降低到2 GHz 。 (1) 对一典型程序,新版所实现的加速比是多少? (2) 新、旧两版处理器的MIPS 各是多少? (1)对于一个有N 条指令的程序来说: 非流水式处理器的总执行时间s N N T 990 106.1)105.2/()4(-?=??= 5级流水处理器的总执行时间s N N T 991 10)4(2)102/()15(-?+=?-+= 加速比=42.310 +=N N T T ,N 很大时加速比≈3.2 (2)非流水式处理器CPI=4,则其执行速度=2500MHz/4=625MIPS 。 5级流水处理器CPI=1,则其执行速度=2000 MHz /1=2000 MIPS 。 3.11随机逻辑体系结构的处理器的特点是什么?详细说明各部件的作用。 随机逻辑的特点是指令集设计与硬件的逻辑设计紧密相关,通过针对特定指令集进行

嵌入式微处理器与操作系统_华中师范大学20年春季考试题库及答案

[试题分类]:嵌入式微处理器与操作系统Z_82411005 [题型]:单选 [大题名称]:单项选择题 [题目数量]:60 [分数]:2 1.Makefile文件预定定义变量$^表示()。 A.目标文件的完整名称 B.所有不重复的依赖文件,以空格隔开 C.第一个依赖文件的名称 D.第二个依赖文件的名称 [答案]:B [一级属性]: [二级属性]: [难度]: [公开度]: 2.如果生成通用计算机上(系统是Linux操作系统)能够执行的程序,则使用的C编译是()。 A.TC B.VC C.GCC D.arm-linux-gcc [答案]:C [一级属性]: [二级属性]: [难度]: [公开度]: 3.创建根文件系统映像文件使用的工具是()。 A.BusyBox B.cramfs C.make D.vi [答案]:A [一级属性]: [二级属性]: [难度]: [公开度]: 4.S3C2410X系统的存储空间分成()组(bank)。 A.2 B.4 C.8 D.16 [答案]:C

[一级属性]: [二级属性]: [难度]: [公开度]: 5.GDB软件是()。 A.调试器 B.编译器 C.文本编译器 D.连接器 [答案]:A [一级属性]: [二级属性]: [难度]: [公开度]: 6.嵌入式系统和通用计算机相比,描述不正确的是()? A.专用性强 B.实时性好 C.可裁剪性好 D.功耗高 [答案]:D [一级属性]: [二级属性]: [难度]: [公开度]: 7.ARM9使用几级流水线。 A.2 B.3 C.5 D.7 [答案]:C [一级属性]: [二级属性]: [难度]: [公开度]: 8.ARM公司主要依靠()获得利润。 A.生产芯片 B.销售芯片 C.制定标准 D.出售芯片技术授权 [答案]:D

微处理器和指令系统

微处理器和指令系统 一、选择题 1、微型计算机中的运算器,将运算结果的一些特征标志寄存在(FR)中。 2、微处理器内部的控制器是由(ALU与寄存器)组成。 3. 8086微处理器内部能够计算出访问内存储器的20位物理地址的附加机构是(加法器)。 4. 若有两个带有符号数ABH和FFH相加,其结果使FR中CF和OF 位为(1和0)。 5. 逻辑地址是(允许在程序中编排的)地址。 6.字符串操作时目标串逻辑地址只能由(ES、DI)提供。 5. 8086微处理器中的ES是(附加段)寄存器。 6.8086处理器最小工作方式和最大工作方式的主要差别是(单处理器和多处理器的不同)。 7.8086微处理器内部通用寄存器中的指针类寄存器是(AX)。8.8086/8088微处理器引脚中(B)信号线能够反映标志寄存器中断允许标志位下的当前值。A. 7S B.5S C. 4S D. 6S 9.若进行加法运算的两个操作数为ABH和8BH,其结果使得CF和OF 为(1和l)。 10.欲使RESET有效, 只要(接通电源或按RESET键)即可。11.8086微处理器内部具有自动增1功能的寄存器是(IP)。12.最大方式下8086系统送8288总线控制器的状态信号为( A )。

A. 2S 、1S 、0S B. R /DT C .0SS D. DEN 13.8086/8088微处理器状态信号共有( 5)条。 14.编程人员不能直接读写的寄存器是(IP )。 15. 16位带有符号数的补码数据范围为( +32767~-32768)。 16.8086/8088微处理器可访问内存储器地址为(00000H ~FFFFFH )。 17.当标志寄存器FR 中OF 位等于1时,表示带有符号的字运算超出数据(–32768~32767)范围。 18.8086/8088微处理器CLK 引脚输入时钟信号是由(8284)提供的。 19.8086微处理器引脚2S 1S 0S 处于(001)状态时,表明读I/O 端口。 20.8086/8088微处理器中的段寄存器中的CS 作为段基值,偏移量允许使用(IP )。 21.8086微处理器以BP 内容作为偏移量,则段基值是由(SS )寄存器提供。 22.8086/8088微处理器中的寄存器可以用做8 位或16位寄存器,如( D )。 A. SI B .SP C. IP D. CX 23.在最小方式下,原8l86微处理器最大方式下2S 、1S 、0S 引脚应改为( C )。 A. M/IO 、DEN 、DT/R B .DT/R 、M/IO 、DEN C. M/IO 、DT/R 、DEN D. DEN 、M/IO 、DT/R 24.访问I/O 端口可用地址线有(16)条. 25.最大方式下引脚25号和24号分别为0QS 和1QS ,若工作于最小

微处理器系统结构与嵌入式系统设计(第2版) 第5章答案

5.10 用16K×1位的DRAM芯片组成64K×8位存储器,要求: (1) 画出该存储器的组成逻辑框图。 (2) 设存储器读/写周期为0.5μS, CPU在1μS内至少要访问一次。试问采用哪种刷新方式比较合理?两次刷新的最大时间间隔是多少?对全部存储单元刷新一遍所需的实际刷新时间是多少? (1)组建存储器共需DRAM芯片数N=(64K*8)/(16K*1)=4*8(片)。 每8片组成16K×8位的存储区,A13~A0作为片内地址,用A15、A14经2:4译码器产生片选信号,逻辑框图如下(图有误:应该每组8片,每片数据线为1根) (2)设16K×8位存储芯片的阵列结构为128行×128列,刷新周期为2ms。因为刷新每行需0.5μS,则两次(行)刷新的最大时间间隔应小于: 为保证在每个1μS内都留出0.5μS给CPU访问内存,因此该DRAM适合采用分散式或异步式刷新方式,而不能采用集中式刷新方式。 ●若采用分散刷新方式,则每个存储器读/写周期可视为1μS,前0.5μS用于读写,后 0.5μS用于刷新。相当于每1μS刷新一行,刷完一遍需要128×1μS=128μS,满足刷新周期小于2ms的要求; ●若采用异步刷新方式,则应保证两次刷新的时间间隔小于15.5μS。如每隔14个读写周期刷新一行,相当于每15μS刷新一行,刷完一遍需要128×15μS=1920μS,满足刷新周期小于2ms的要求; 需要补充的知识: 刷新周期:从上一次对整个存储器刷新结束到下一次对整个存储器全部刷新一遍为止的时间间隔。刷新周期通常可以是2ms,4ms或8ms。 DRAM一般是按行刷新,常用的刷新方式包括: ●集中式:正常读/写操作与刷新操作分开进行,刷新集中完成。

DSP 、单片机以及嵌入式微处理器区别

DSP 、单片机以及嵌入式微处理器都是嵌入式家族的一员。最大区别是DSP能够高速、实时地进行数字信号处理运算。数字信号处理运算的特点是乘/加及反复相乘 求和(乘积累加)。为了能快速地进行数字信号处理的运算,(1)DSP设置了硬件乘法/累加器,(2)能在单个指令周期内完成乘/加运算。(3)为满足FFT、卷积等数字信号处理的特殊要求,目前DSP大多在指令系统中设置了“循环寻址”及“位倒序”寻址指令和其他特殊指令,使得寻址、排序的速度大大提高。DSP完成1024复点FFT的运算,所需时间仅为微秒量级。 高速数据的传输能力是DSP高速实时处理的关键之一。新型的DSP设置了单独的DMA总线及其控制器,在不影响或基本不影响DSP处理速度的情况下,作并行的数据传送,传送速率可达每秒百兆字节。DSP内部有流水线,它在指令并行、功能单元并行、多总线、时钟频率提高等方面不断创新和改进。因此,DSP与单片机、嵌入式微处理器相比,在内部功能单元并行、多DSP核并行、速度快、功耗小、完成各种DSP算法方面尤为突出。 单片机也称微控制器或嵌入式控制器,它是为中、低成本控制领域而设计和开发的。单片机的位控能力强,I/O接口种类繁多,片内外设和控制功能丰富、价格低、使用方便,但与DSP相比,处理速度较慢。DSP具有的高速并行结构及指令、多总线,单片机却没有。DSP处理的算法的复杂度和大的数据处理流量更是单片机不可企及的。嵌入式微处理器的基础是通用计算机中的CPU(微处理器)。是嵌入式系统的核心。为满足嵌入式应用的特殊要求,嵌入式微处理器虽然在功能上和标准微处理器基本是一样的,但在工作温度、抗电磁干扰、可靠性等方面一般都做了各种增强。与工业控制计算机相比,嵌入式微处理器具有体积小、质量轻、成本低、可靠性高的优点,但是在电路板上必须包括ROM、RAM、总线接口、各种外设等器件,从而降低了系统的可靠性,技术保密性也较差。在应用设计中,嵌入式微处理器及其存储器、总线、外设等安装在专门设计的一块电路板上,只保留和嵌入式应用有关的母板功能,可大幅度减小系统的体积和功耗。目前,较流行的是基于ARM7、ARM9系列内核的嵌入式微处理器。 嵌入式微处理器与DSP的一个很大区别,就是嵌入式处理器的地址线要比DSP 的数目多,所能扩展的存储器空间要比DSP的存储器空间大的多,所以可配置实时多任务操作系统(RTOS)。RTOS是针对不同处理器优化设计的高效率、可靠性和可信性很高的实时多任务内核,它将CPU时间、中断、I/O、定时器等资源都包装起来,留给用户一个标准的应用程序接口(API),并根据各个任务的优先级,合理地在不同任务之间分配CPU时间。RTOS是嵌入式应用软件的基础和开发平台。常用的RTOS:Linux(为几百KB)和VxWorks(几MB)。 由于嵌入式实时多任务操作系统具有的高度灵活性,可很容易地对它进行定制或作适当开发,来满足实际应用需要。例如,移动计算平台、信息家电(机顶盒、数字电视)、媒体手机、工业控制和商业领域(例如,智能工控设备、ATM机等)、电子商务平台,甚至军事应用,吸引力巨大。所以,目前嵌入式微处理器的应用是继单片机、DSP之后的又一大应用热门。但是,由于嵌入式微处理器通常不能高效地完成许多基本的数字处理运算,例如,乘法累加、矢量旋转、三角函数等。它的 体系结构对特殊类型的数据结构只能提供通用的寻址操作,而DSP则有专门的简捷寻址机构和辅助硬件来快速完成。所以嵌入式微处理器不适合高速、实时的数字信号处理运算。而更适合“嵌入”到系统中,完成高速的“通用”计算与复杂

微处理器系统结构与嵌入式系统设计(第二版)答案全

微处理器系统结构与嵌入式系统设计(第二版)答案全

一 1.2 以集成电路级别而言,计算机系统的三个主要组成部分是什么? 中央处理器、存储器芯片、总线接口芯片 1.3 阐述摩尔定律。 每18个月,芯片的晶体管密度提高一倍,运算性能提高一倍,而价格下降一半。 1.5 什么是SoC?什么是IP核,它有哪几种实现形式? SoC:系统级芯片、片上系统、系统芯片、系统集成芯片或系统芯片集等,从应用开发角度出发,其主要含义是指单芯片上集成微电子应用产品所需的所有功能系统。 IP核:满足特定的规范和要求,并且能够在设计中反复进行复用的功能模块。它有软核、硬核和固核三种实现形式。 1.8 什么是嵌入式系统?嵌入式系统的主要特点有哪些? 概念:以应用为中心,以计算机技术为基础,软硬件可裁剪,适应应用系统对功能、可靠性、成本、体积和功耗的严格要求的专用计算机系统,即“嵌入到应用对象体系中的专用计算机系统”。 特点:1、嵌入式系统通常是面向特定应用的。 2、嵌入式系统式将先进的计算机技术、半导体技术和电子技术与各个行业的具体应用相结合的产物。 3、嵌入式系统的硬件和软件都必须高效率地设计,量体裁衣、去除冗余,力争在同样的硅片面积上实现更高的性能。

4、嵌入式处理器的应用软件是实现嵌入式系统功能的关键,对嵌入式处理器系统软件和应用软件的要求也和通用计算机有以下不同点。 ①软件要求固体化,大多数嵌入式系统的软件固化在只读存储器中; ②要求高质量、高可靠性的软件代码; ③许多应用中要求系统软件具有实时处理能力。 5、嵌入式系统和具体应用有机的结合在一起,它的升级换代也是和具体产品同步进行的,因此嵌入式系统产品一旦进入市场,就具有较长的生命周期。 6、嵌入式系统本身不具备自开发能力,设计完成以后用户通常也不能对其中的程序功能进行修改,必须有一套开发工具和环境才能进行开发。 二 2.2 完成下列逻辑运算 (1)101+1.01 = 110.01 (2)1010.001-10.1 = 111.101 (3)-1011.0110 1-1.1001 = -1100.1111 1 (4)10.1101-1.1001 = 1.01 (5)110011/11 = 10001 (6)(-101.01)/(-0.1) = 1010.1 2.3 完成下列逻辑运算 (1)1011 0101∨1111 0000 = 1111 0101 (2)1101 0001∧1010 1011 = 1000 0001 (3)1010 1011⊕0001 1100 = 1011 0111

微处理器系统结构与嵌入式系统设计(第二版)答案全

一 1.2 以集成电路级别而言,计算机系统的三个主要组成部分是什么? 中央处理器、存储器芯片、总线接口芯片 1.3 阐述摩尔定律。 每18个月,芯片的晶体管密度提高一倍,运算性能提高一倍,而价格下降一半。 1.5 什么是SoC?什么是IP核,它有哪几种实现形式? SoC:系统级芯片、片上系统、系统芯片、系统集成芯片或系统芯片集等,从应用开发角度出发,其主要含义是指单芯片上集成微电子应用产品所需的所有功能系统。 IP核:满足特定的规范和要求,并且能够在设计中反复进行复用的功能模块。它有软核、硬核和固核三种实现形式。 1.8 什么是嵌入式系统?嵌入式系统的主要特点有哪些? 概念:以应用为中心,以计算机技术为基础,软硬件可裁剪,适应应用系统对功能、可靠性、成本、体积和功耗的严格要求的专用计算机系统,即“嵌入到应用对象体系中的专用计算机系统”。 特点:1、嵌入式系统通常是面向特定应用的。 2、嵌入式系统式将先进的计算机技术、半导体技术和电子技术与各个行业的具体应用相结合的产物。 3、嵌入式系统的硬件和软件都必须高效率地设计,量体裁衣、去除冗余,力争在同样的硅片面积上实现更高的性能。

4、嵌入式处理器的应用软件是实现嵌入式系统功能的关键,对嵌入式处理器系统软件和应用软件的要求也和通用计算机有以下不同点。 ①软件要求固体化,大多数嵌入式系统的软件固化在只读存储器中; ②要求高质量、高可靠性的软件代码; ③许多应用中要求系统软件具有实时处理能力。 5、嵌入式系统和具体应用有机的结合在一起,它的升级换代也是和具体产品同步进行的,因此嵌入式系统产品一旦进入市场,就具有较长的生命周期。 6、嵌入式系统本身不具备自开发能力,设计完成以后用户通常也不能对其中的程序功能进行修改,必须有一套开发工具和环境才能进行开发。 二 2.2 完成下列逻辑运算 (1)101+1.01 = 110.01 (2)1010.001-10.1 = 111.101 (3)-1011.0110 1-1.1001 = -1100.1111 1 (4)10.1101-1.1001 = 1.01 (5)110011/11 = 10001 (6)(-101.01)/(-0.1) = 1010.1 2.3 完成下列逻辑运算 (1)1011 0101∨1111 0000 = 1111 0101 (2)1101 0001∧1010 1011 = 1000 0001 (3)1010 1011⊕0001 1100 = 1011 0111 2.4 选择题

第三章8086微处理器指令系统习题答案

第三章8086微处理器指令系统习题答案 一、单项选择题 1.B 2.C 3.B 4.D 5.A 6.C 7.B 8.C 9.C10. D 11.D12.C13.C14.A15.C16.B17.C18.B19.D20. D 21.B22.D23.A24.D25.A26.A27.A28.D29.C30. A 31.D32.C33.B34.D35.D36.D37.A38.B39.B40. B 41.B42.A43.D44.D45.A46.C47.D48. C 二、多项选择题 1.ABC 2.ABCD 3.ABF 4.BF 5.BCD 6.CD 7.ABCDE 8.BD 三、填空题 1.操作码,操作数 2段地址,10H,偏移地址,02051H 3.AA92AH 4.立即,基址变址 5.5425H 6.D36AH 7.源操作数为8位,目的操作数为16位,不匹配;源操作数和目的操作数不能同时为存储器;INC指令操作数不能为立即数;目的操作数的的地址大于字节。 8.6310H 9.0132H,0112H 10.0000H,1,1,0,0 11.1202H,1200H,2000H 12.除4 13.7230H 14.12AAH,0BBCCH 15.6804H 16.3,0 17.4154H,6F30H 18.0,00FFH,0 四、判断题 ×V ××V ××V ×V ××××V ×V ××× 五、读程序,指出结果 1.11H 2.5678H,1234H 3.80H,0 4.1,1 5. 1 6.0132H,0112H 7.01H,00H,08H 8.0,0 9.79H,6H,7FH 10.60H,35H

第三章80X86微型处理器及其系统结构

80X86微型处理器及其系统结构 学习目的: 了解三总线及堆栈的工作原理。 掌握微处理器的一般结构、算逻部件ALU、寄存器结构、控制部件。 重点掌握Intel 8086微处理器的结构。 堆栈 1. 堆栈的定义 用作数据暂时存储的一组寄存器或存储单元称为堆栈。 堆栈中数据按“后进先出”的结构方式进行处理,即新入栈的依次堆放在原来数据之上,存放信息的最后一个单元叫做栈顶,用堆栈指针SP(Stack Pointer)指示。 堆栈操作有两种:压入(PUSH)和弹出(POP),而SP始终指向堆栈栈顶的新位置。 2. 堆栈编址结构的两种形式 (1) 向上生成 该结构中,每压入一个数据,堆栈指示器SP按增量修改,每弹出一个数据,SP按减量修改。 (2) 向下生成 该结构中,每压入一个数据,SP按减量修改,每弹出一个数据,SP按增量修改 3. 构成堆栈的两种形式 一种是使用微处理器内部的一组寄存器作为堆栈。 优点:访问速度快。 缺点:寄存器数量有限。 一种形式是在随机存储器RAM中开辟一个区间供堆栈使用,较为普遍;若编址采用向下生成,其堆栈操作如下图所示。

由上图中可以看出,出栈操作并不会从堆栈中去掉信息,也不擦除它们,只是因SP 的自动修改而改变了堆栈的栈顶。 堆栈主要用于中断控制,子程序调用以及数据暂时存储。 3.2 微处理器的一般结构 传统的微处理器结构由算术逻辑部件ALU ,控制电路及寄存器阵列三大部分组成,如下图所示。

一、算术逻辑部件ALU (Arithmetic Logic Unit) ALU是执行算术运算、逻辑运算及移位的装置。 ALU有两个输入端,一个与累加器相连,另一端与暂存器相连,用于存放参加运算的两个数。 ALU的输出端也有两个,一端将操作结果送回到内部总线再送回累加器,另一端用于输出表示操作结果特征的标志信息。 二、寄存器阵列 一般包括通用寄存器、累加器、标志寄存器、专用寄存器等。寄存器的使用提升了计算机的功能和程序设计的灵活性。 1. 通用寄存器组 可用于存放数据和地址,有8bit和16bit等,CPU可直接处理这些信息,减少了访问存储器的次数,节省访问内存时间。 2. 累加器 也是数据寄存器,与ALU一起完成各种算术或逻辑运算,运算前作为ALU的一个输入,运算后常用于保存结果。 CPU对I/O接口电路的读出或写入一般也是通过累加器进行的。 3. 标志寄存器 在算术或逻辑运算时,为了保存可能发生的进位、溢出、符号、全零及奇偶性等状态的变化,微处理器设置标志寄存器。 其所存的状态将可作为一种条件,常用于判断是否控制程序转移。 4. 专用寄存器 (1) 程序计数器PC (Program Counter) 它是指令地址寄存器,其内容指出了现行指令在存储器中的存放地址。 注:当按PC的内容从存储器中取出指令时,PC的内容自动加1。 对单字节指令而言,则PC指向了下一条指令所在的地址。 对多字节指令,则每取一个字节,PC自动加1,当取出最后一个指令字节时,PC仍指向下

嵌入式系统开发基础——基于ARM9微处理器C语言程序设计各章习题答案

第一章习题 1。嵌入某种微处理器或单片机的测试和控制系统称为嵌入式控制系统(Embedded Control System)。 在应用上大致分为两个层次,以MCS-51为代表的8位单片机和以ARM技术为基础的32位精减指令系统单片机 2。目标机上安装某种嵌入式操作系统和不安装嵌入式操作系统, 以MCS-51为代表的8位单片机不安装嵌入式操作系统。 3。32位、16位和8位 5。32位、16位 6。在大端格式中,字数据的高字节存储在低字节单元中,而字数据的低字节则存放在高地址单元中。 在小端存储格式中,低地址单元存放的是字数据的低字节,高地址单元中,存放的是数据的高字节。 第二章习题 (略) 第三章习题 1。 (1)寄存器大约有17类,每个的定义都是寄存器名字前面加一个小写”r” (2)在56个中断源中,有32个中断源提供中断控制器,其中,外部中断EINT4~EINT7通过“或”的形式提供一个中断源送至中断控制器,EINT8~EINT23也通过“或”的形式提供一个中断源送至中断控制器。 第四章习题 1,56个中断源,有32个中断源提供中断控制器 2,两种中断模式,即FIQ模式(快速模式)和IRQ模式(通用模式)。通过中断模式控制寄存器设置。 3,常用的有5个,它们是中断模式控制寄存器,控制中断模式;中断屏蔽寄存器,控制中断允许和禁止;中断源挂起寄存器,反映哪个中断源向CPU申请了中断;中断挂起寄存器,反映CPU正在响应的中断是哪个中断源申请的;中断优先级寄存器,它和中断仲裁

器配合,决定中断优先级。 4,中断源挂起寄存器,反映哪个中断源向CPU申请了中断;中断挂起寄存器,反映CPU正在响应的中断是哪个中断源申请的。中断源向CPU申请了中断如果该中断源没被屏蔽并且没有和它同级或高级的中断源申请中断,才能被响应。系统中可以有多个中断源向CPU申请中断,但同一时刻CPU只能响应一个最高级的中断源中断请求。中断源挂起寄存器和中断挂起寄存器反映了中断系统不同时段的状态。 5,进入中断服务程序先清中断源挂起寄存器和中断挂起寄存器;中断结束,将该中断源屏蔽。 6,将该中断源屏蔽取消;将该中断源屏蔽。 第五章习题 1,S3C2410芯片上共有117个多功能的输人/输出引脚,它们是。 ?1个23位的输出端口(端口A); 。1个11位的输入/输出端口(端口B); 。1个16位输入/输出端口(端口C); ? 1个16位输入/输出端口(端口D); ? 1个16位输入/输出端口(端口E); ?1个8位输人/输出端口(端口F); ? 1个16位输入/输出端口(端口G); 。1个11位的输入/输出端口(端口H)。 2,S3C2410 I/O口的控制寄存器、数据寄存器、上拉电阻允许寄存器的作用? 端口控制寄存器定义了每个引脚的功能;与I/O口进行数据操作,不管是输入还是输出,都是通过该口的数据寄存器进行的,如果该端口定义为输出端口,那么可以向GPnDAT的相应位写数据。如果该端口定义为输人端端口,那么可以从GPnDAT的相应位读出数据。 端口上拉寄存器控制每个端口组上拉电阻的使能/禁止。如果上拉寄存器某一位为0,则相应的端口上拉电阻被使能,该位做基本输入/输出使用,即第1功能;如果上拉寄存器某一位是1,则相应的端口上拉电阻被禁止,该位做第2功能使用。 5, rGPBCON=rGPBCON& 0xFFFFFC∣1; //蜂鸣器配置,PB1口接蜂鸣器,输出delay(1000); rGPBDAT & = 0xFFFFFE; //蜂鸣器响,低电平有效 rGPBDAT∣=1; // 蜂鸣器停

微处理器系统结构与嵌入式系统设计 第二版 章答案

第一章作业答案 1.2 以集成电路级别而言,计算机系统的三个主要组成部分是什么? 中央处理器、存储器芯片、总线接口芯片 1.3 阐述摩尔定律。 每18个月,芯片的晶体管密度提高一倍,运算性能提高一倍,而价格下降一半。 1.5 什么是SoC?什么是IP核,它有哪几种实现形式? SoC:系统级芯片、片上系统、系统芯片、系统集成芯片或系统芯片集等,从应用开发角度出发,其主要含义是指单芯片上集成微电子应用产品所需的所有功能系统。 IP核:满足特定的规范和要求,并且能够在设计中反复进行复用的功能模块。它有软核、硬核和固核三种实现形式。 1.8 什么是嵌入式系统?嵌入式系统的主要特点有哪些? 概念:以应用为中心,以计算机技术为基础,软硬件可裁剪,适应应用系统对功能、可靠性、成本、体积和功耗的严格要求的专用计算机系统,即“嵌入到应用对象体系中的专用计算机系统”。 特点:1、嵌入式系统通常是面向特定应用的。 2、嵌入式系统式将先进的计算机技术、半导体技术和电子技术与各个行业的具体应用相结合的产物。 3、嵌入式系统的硬件和软件都必须高效率地设计,量体裁衣、去除冗余,力争在同样的硅片面积上实现更高的性能。 4、嵌入式处理器的应用软件是实现嵌入式系统功能的关键,对嵌入式处理器系统软件和应用软件的要求也和通用计算机有以下不同点。 ①软件要求固体化,大多数嵌入式系统的软件固化在只读存储器中; ②要求高质量、高可靠性的软件代码; ③许多应用中要求系统软件具有实时处理能力。 5、嵌入式系统和具体应用有机的结合在一起,它的升级换代也是和具体产品同步进行的,因此嵌入式系统产品一旦进入市场,就具有较长的生命周期。 6、嵌入式系统本身不具备自开发能力,设计完成以后用户通常也不能对其

李广军《微处理器系统及嵌入式系统》习题解答

第一章习题解答 1.1 什么是程序存储式计算机? 程序存储式计算机指采用存储程序原理工作的计算机。 存储程序原理又称“冯·诺依曼原理”,其核心思想包括: 程序由指令组成,并和数据一起存放在存储器中; 计算机启动后,能自动地按照程序指令的逻辑顺序逐条把指令从存储器中读出来,自动完成由程序所描述的处理工作。 1.2 通用计算机几个主要部件是什么? 主机(CPU、主板、内存); 外设(硬盘/光驱、显示器/显卡、键盘/鼠标、声卡/音箱); 1.3 以集成电路级别而言,计算机系统的三个主要组成部分是什么? 中央处理器、存储器芯片、总线接口芯片 1.4 阐述摩尔定律。 每18个月,芯片晶体管密度提高一倍,运算性能提高一倍,而价格下降一半。 1.5 讨论:摩尔定律有什么限制,可以使用哪些方式克服这些限制?摩尔定律还会持续多久?在摩尔定律之后电路将如何演化? 摩尔定律不能逾越的四个鸿沟:基本大小的限制、散热、电流泄露、热噪。具体问题如:晶体管体积继续缩小的物理极限,高主频导致的高温…… 解决办法:采用纳米材料、变相材料等取代硅、光学互联、3D、加速器技术、多内核…… (为了降低功耗与制造成本,深度集成仍是目前半导体行业努力的方向,但这不可能永无止,因为工艺再先进也不可能将半导体做的比原子更小。用作绝缘材料的二氧化硅,已逼近极限,如继续缩小将导致漏电、散热等物理瓶颈,数量集成趋势终有终结的一天。一旦芯片上线条宽度达到纳米数量级时,相当于只有几个分子的大小,这种情况下材料的物理、化学性能将发生质的变化,致使采用现行工艺的半导体器件不能正常工作,摩尔定律也就要走到它的尽头了。业界专家预计,芯片性能的增长速度将在今后几年趋缓,一般认为摩尔定律能再适用10年左右,其制约的因素一是技术,二是经济。) 1.6 试以实例说明计算机系统结构、计算机组成与计算机实现之间的相互关系与相互影响。 计算机系统结构主要是指程序员关心的计算机概念结构与功能特性,而计算机组成原理则偏重从硬件角度关注物理机器的组织,更底层的器件技术和微组装技术则称为计算机实现。例如:确定指令集中是否有乘法指令属于计算机体系结构的内容,而乘法指令是由专门的乘法器实现还是用加法器实现则属于计算机组成原理的内容,乘法/加法器底层的物理器件类型及微组装技术则属于计算机实现的内容。

相关文档
相关文档 最新文档