文档库 最新最全的文档下载
当前位置:文档库 › (完整版)计算机组成原理期末考试试题及答案

(完整版)计算机组成原理期末考试试题及答案

(完整版)计算机组成原理期末考试试题及答案
(完整版)计算机组成原理期末考试试题及答案

计算机组成原理期末考试试题及答案

一、选择题

1、完整的计算机系统应包括______。D

A. 运算器、存储器和控制器

B. 外部设备和主机

C. 主机和实用程序

D. 配套的硬件设备和软件系统

2、计算机系统中的存储器系统是指______。D

A.RAM存储器

B.ROM存储器

C. 主存储器

D. 主存储器和外存储器

3、冯·诺依曼机工作方式的基本特点是______。B

A. 多指令流单数据流

B. 按地址访问并顺序执行指令

C. 堆栈操作

D. 存储器按内部选择地址

4、下列说法中不正确的是______。D

A. 任何可以由软件实现的操作也可以由硬件来实现

B. 固件就功能而言类似于软件,而从形态来说又类似于硬件

C. 在计算机系统的层次结构中,微程序级属于硬件级,其他四级都是软件级

D. 面向高级语言的机器是完全可以实现的

5、在下列数中最小的数为______。C

A. (101001)2

B. (52)8

C. (101001)BCD

D. (233)16

6、在下列数中最大的数为______。B

A. (10010101)2

B. (227)8

C. (143)5

D. (96)16

7、在机器中,______的零的表示形式是唯一的。B

A. 原码

B. 补码

C. 反码

D. 原码和反码

9、针对8位二进制数,下列说法中正确的是______。B

A.–127的补码为10000000

B.–127的反码等于0的移码B

C.+1的移码等于–127的反码

D.0的补码等于–1的反码

9、一个8位二进制整数采用补码表示,且由3个“1”和5个“0”组成,则最小值为______。

B

A. –127

B. –32

C. –125

D. –3

10、计算机系统中采用补码运算的目的是为了______。C

A. 与手工运算方式保持一致

B. 提高运算速度

C. 简化计算机的设计

D. 提高运算的精度

11、若某数x的真值为–0.1010,在计算机中该数表示为1.0110,则该数所用的编码方法是

______码。B

A. 原

B. 补

C. 反

D. 移

12、长度相同但格式不同的2种浮点数,假定前者阶段长、尾数短,后者阶段短、尾数长,

其他规定均相同,则它们可表示的数的范围和精度为______。B

A. 两者可表示的数的范围和精度相同

B. 前者可表示的数的范围大但精度低

C. 后者可表示的数的范围大且精度高

D. 前者可表示的数的范围大且精度高

13、某机字长32位,采用定点小数表示,符号位为1位,尾数为31位,则可表示的最大正

小数为______,最小负小数为______。D

A. +(231–1)

B. –(1–2-32)

C. +(1–2-31)≈+1

D. –(1–2-31)≈–1

14、运算器虽有许多部件组成,但核心部分是______。B

A. 数据总线

B. 算数逻辑运算单元

C. 多路开关

D. 通用寄存器

15、在定点二进制运算器中,减法运算一般通过______来实现。D

A. 原码运算的二进制减法器

B. 补码运算的二进制减法器

C. 补码运算的十进制加法器

D. 补码运算的二进制加法器

16、在定点运算器中,无论采用双符号位还是单符号位,必须有______,它一般用______

来实现。C

A. 译码电路,与非门

B. 编码电路,或非门

C. 溢出判断电路,异或门

D. 移位电路,与或非门

17、下列说法中正确的是______。D

A. 采用变形补码进行加减运算可以避免溢出

B. 只有定点数运算才有可能溢出,浮点数运算不会产生溢出

C. 只有带符号数的运算才有可能产生溢出

D. 将两个正数相加有可能产生溢出

18、在定点数运算中产生溢出的原因是______。C

A. 运算过程中最高位产生了进位或借位

B. 参加运算的操作数超过了机器的表示范围

C. 运算的结果的操作数超过了机器的表示范围

D. 寄存器的位数太少,不得不舍弃最低有效位

19、下溢指的是______。A

A. 运算结果的绝对值小于机器所能表示的最小绝对值

B. 运算的结果小于机器所能表示的最小负数

C. 运算的结果小于机器所能表示的最小正数

D. 运算结果的最低有效位产生的错误

20、存储单元是指________。B

A. 存放一个二进制信息位的存储元

B. 存放一个机器字的所有存储元集合

C. 存放一个字节的所有存储元集合

D. 存放两个字节的所有存储元集合

21、和外存储器相比,内存储器的特点是________。C

A. 容量大、速度快、成本低

B. 容量大、速度慢、成本高

C. 容量小、速度快、成本高

D. 容量小、速度快、成本低

22、某计算机字长16位,存储器容量64KB,若按字编址,那么它的寻址范围是________。B

A. 64K

B. 32K

C. 64KB

D. 32KB

23、某DRAM芯片,其存储容量为512K×8位,该芯片的地址线和数据线数目为_______。C

A. 8,512

B. 512,8

C. 18,8

D. 19,8

24、某计算机字长32位,其存储容量为4MB,若按字编址,它的寻址范围是________。D

A. 1M

B. 4MB

C. 4M

D. 1MB

25、主存储器和CPU之间增加Cache的目的是________。A

A. 解决CPU和主存之间的速度匹配问题

B. 扩大主存储器的容量

C. 扩大CPU中通用寄存器的数量

D. 既扩大主存容量又扩大CPU通用寄存器数量

26、EPROM是指________。D

A. 只读存储器

B. 随机存储器

C. 可编程只读存储器

D. 可擦写可编程只读存储器

27、寄存器间接寻址方式中,操作数处在__________。B

A. 通用寄存器

B. 内存单元

C. 程序计数器

D. 堆栈

28、扩展操作码是__________。D

A. 操作码字段外辅助操作字段的代码

B. 操作码字段中用来进行指令分类的代码

C. 指令格式中的操作码

D. 一种指令优化技术,不同地址数指令可以具有不同的操作码长度

29、指令系统中采用不同寻址方式的目的主要是__________。B

A. 实现存储程序和程序控制

B. 缩短指令长度、扩大寻址空间、提高编程灵活性

C. 可以直接访问外存

D. 提供扩展操作码的可能并降低指令译码难度

30、单地址指令中为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个数常

采用__________。C

A. 堆栈寻址模式

B. 立即寻址方式

C. 隐含寻址方式

D. 间接寻址方式

31、对某个寄存器中操作数的寻址方式称为__________寻址。C

A. 直接

B. 间接

C. 寄存器

D. 寄存器间接

32、寄存器间接寻址方式中,操作数处在__________。B

A. 通用寄存器

B. 主存单元

C. 程序计数器

D. 堆栈

33、变址寻址方式中,操作数的有效地址等于__________。C

A. 基值寄存器内容加上形式地址(位移量)

B. 堆栈指示器内容加上形式地址

C. 变址寄存器内容加上形式地址

D. 程序计数器内容加上形式地址

34、程序控制类指令的功能是__________。D

A. 进行算术运算和逻辑运算

B. 进行主存与CPU之间的数据传送

C. 进行CPU和I/O设备之间的数据传送

D. 改变程序执行的顺序

35、同步控制方式是__________。C

A. 只适用于CPU控制的方式

B. 只适用于外设控制的方式

C. 由统一时序信号控制的方式

D. 所有指令执行时间都相同的方式

36、异步控制方式常用于__________作为其主要控制方式。A

A. 在单总线结构计算机中访问主存与外设时

B. 微型机的CPU控制中

C. 组合逻辑控制的CPU中

D. 微程序控制器中

37、在一个微周期中__________。D

A. 只能执行一个微操作

B. 能执行多个微操作,但它们一定是并行操作的

C. 能顺序执行多个微操作

D. 只能执行相斥性的操作

38、指令周期是指__________。C

A. CPU从主存取出一条指令的时间

B. CPU执行一条指令的时间

C. CPU从主存取出一条指令加上执行这条指令的时间

D. 时钟周期时间

39、在CPU中跟踪指令后继地址的寄存器是__________。B

A. 主存地址寄存器

B. 程序计数器

C. 指令寄存器

D. 状态寄存器

40、中央处理器是指__________。C

A. 运算器

B. 控制器

C. 运算器和控制器

D. 运算器、控制器和主存储器

41、计算机操作的最小时间单位是__________。A

A. 时钟周期

B. 指令周期

C. CPU周期

D. 外围设备

42、微程序控制器中,机器指令与微指令的关系是__________。B

A. 每一条机器指令由一条微指令来执行

B. 每一条机器指令由一段用微指令编成的微程序来解释执行

C. 一段机器指令组成的程序可由一条微指令来执行

D. 一条微指令由若干条机器指令组成

43、为了确定下一条微指令的地址,通常采用断定方式,其基本思想是__________。C

A. 用程序计数器PC来产生后继续微指令地址

B. 用微程序计数器μPC来产生后继微指令地址

C. 通过微指令控制字段由设计者指定或者由设计者指定的判别字段控制产生后继微指

令地址

D. 通过指令中指令一个专门字段来控制产生后继微指令地址

44、就微命令的编码方式而言,若微操作命令的个数已确定,则__________。B

A. 直接表示法比编码表示法的微指令字长短

B. 编码表示法比直接表示法的微指令字长短

C. 编码表示法与直接表示法的微指令字长相等

D. 编码表示法与直接表示法的微指令字长大小关系不确定

45、下列说法中正确的是__________。B

A. 微程序控制方式和硬布线控制方式相比较,前者可以使指令的执行速度更快

B. 若采用微程序控制方式,则可用μPC取代PC

C. 控制存储器可以用掩模ROM、EPROM或闪速存储器实现

D. 指令周期也称为CPU周期

46、系统总线中地址线的功用是。C

A. 用于选择主存单元

B. 用于选择进行信息传输的设备

C. 用于指定主存单元和I/O设备接口电路的地址

D. 用于传送主存物理地址和逻辑地址

47、数据总线的宽度由总线的定义。A

A. 物理特性

B. 功能特性

C. 电气特性

D. 时间特性

48、在单机系统中,多总线结构的计算机的总线系统一般由组成。A

A. 系统总线、内存总线和I/O总线

B. 数据总线、地址总线和控制总线

C. 内部总线、系统总线和I/O总线

D. ISA总线、VESA总线和PCI总线

49、下列陈述中不正确的是。A

A. 总线结构传送方式可以提高数据的传输速度

B. 与独立请求方式相比,链式查询方式对电路的故障更敏感

C.PCI总线采用同步时序协议和集中式仲裁策略

D. 总线的带宽即总线本身所能达到的最高传输速率

50、中断发生时,由硬件更新程序计数器PC,而不是由软件完成,主要是为了________。C

A. 能进入中断处理程序并正确返回源程序

B. 节省内容

C. 提高处理机的速度

D. 使中断处理程序易于编址,不易出错

51、在I/O设备、数据通道、时钟和软件这4项中,可能成为中断源的是________。D

A. I/O设备

B. I/O设备和数据通道

C. I/O设备、数据通道和时钟

D. I/O设备、数据通道、时钟和软件

52、单级中断与多级中断的区别是________。A

A. 单级中断只能实现单中断,而多级中断可以实现多重中断

B. 单级中断的硬件结构是一维中断,而多级中断的硬件结构是二维中断

C. 单级中断处理机只通过一根外部中断请求线接到它的外部设备系统;而多级中断,

每一个I/O设备都有一根专用的外部中断请求线

53、在单级中断系统中,CPU一旦响应中断,则立即关闭________标志,以防止本次中断

服务结束前同级的其他中断源产生另一次中断进行干扰。A

A. 中断允许

B. 中断请求

C. 中断屏蔽

54、为了便于实现多级中断,保存现场信息最有效的方法是采用________。B

A. 通用寄存器

B. 堆栈

C. 储存器

D. 外存

55、为实现CPU与外部设备并行工作,必须引入的基础硬件是________。A

A. 缓冲器

B. 通道

C. 时钟

D. 相联寄存器

56、中断允许触发器用来________。D

A. 表示外设是否提出了中断请求

B. CPU是否响应了中断请求

C. CPU是否在进行中断处理

D. 开放或关闭可屏蔽硬中断

57、采用DMA方式传递数据时,每传送一个数据就要占用一个________时间。C

A. 指令周期

B. 机器周期

C. 存储周期

D. 总线周期

58、周期挪用方式常用于________方式的输入/输出中。A

A. DMA

B. 中断

C. 程序传送

D. 通道

59、通道是重要的I/O方式,其中适合连接大量终端及打印机的通道是________。C

A. 数组多路通道

B. 选择通道

C. 字节多路通道

60、磁表面存储器不具备的特点是______。C

A. 存储密度高

B. 可脱机保存

C. 速度快

D. 容量大

61、计算机的外部设备是指______。D

A. 输入/输出设备

B. 外存设备

C. 远程通信设备

D. 除了CPU和内存以外的其他设备

62、在微型机系统中外部设备通过______与主板的系统总线相连接。B

A. 累加器

B. 设备控制器

C. 计数器

D. 寄存

二、简答题

1、冯·诺依曼型计算机的基本特点是什么?

答:冯?诺依曼原理的基本思想是:

?采用二进制形式表示数据和指令。指令由操作码和地址码组成。

?将程序和数据存放在存储器中,使计算机在工作时从存储器取出指令加以执行,自动完成计算任务。这就是“存储程序”和“程序控制”(简称存储程序控制)的概念。

?指令的执行是顺序的,即一般按照指令在存储器中存放的顺序执行,程序分支由转移指令实现。

?计算机由存储器、运算器、控制器、输入设备和输出设备五大基本部件组成,并规定了5部分的基本功能。

冯?诺依曼型计算机的基本特点也可以用“存储程序”和“程序控制”来高度概括。2、计算机硬件有哪些部件,各部件的作用是什么?

答:计算机的硬件系统由有形的电子器件等构成的,它包括运算器、存储器、控制器、输入输出设备及总线系统组成。而总线分为数据总线、地址总线、控制总线,其结构有单总线结构、双总线结构及多总线结构。存储器(Memory)是用来存放数据和程序的部件;运算器是对信息进行运算处理的部件;控制器是整个计算机的控制核心。它的主要功能是读取指令、翻译指令代码、并向计算机各部分发出控制信号,以便执行指令;输入设备能将数据和程序变换成计算机内部所能识别和接受的信息方式,并顺序地把它们送入存储器中;输出设备将计算机处理的结果以人们能接受的或其它机器能接受的形式送出。

3、什么是总线?以总线组成计算机有哪几种组成结构?

答:总线(Bus)就是计算机中用于传送信息的公用通道,是为多个部件服务的一组信息传送连接线。按照总线的连接方式,计算机组成结构可以分为单总线结构、双总线结构和多总线结构等(详细内容见第7章)。

4、什么是硬件、软件和固件?什么是软件和硬件的逻辑等价?在什么意义上软件和硬件是

不等价的?

答:计算机硬件(Hardware)是指构成计算机的所有实体部件的集合,通常这些部件由电路(电子元件)、机械等物理部件组成。计算机软件(Software)是指能使计算机工作的程序和程序运行时所需要的数据,以及与这些程序和数据有关的文字说明和图表资料,其中文字说明和图表资料又称为文档。固件(Firmware)是一种介于传统的软件和硬件之间的实体,功能上类似软件,但形态上又是硬件。微程序是计算机硬件和软件相结合的重要形式。

软件和硬件的逻辑等价含义:

(1)任何一个由软件所完成的操作也可以直接由硬件来实现

(2)任何一条由硬件所执行的指令也能用软件来完成

在物理意义上软件和硬件是不等价的。

5、计算机系统按程序设计语言划分为哪几个层次?

答:计算机系统是一个由硬件、软件组成的多级层次结构,它通常由微程序级、一般机器级、操作系统级、汇编语言级、高级语言级组成,每一级上都能创造程序设计,且得到下级的支持。

6、解释如下概念:ALU,CPU,主机和字长。

答:算术逻辑运算部件(ALU:Arithmetic Logic Unit),是运算器的核心组成,功能是

完成算数和逻辑运算。“中央处理单元”(CPU:Central Processing Unit)包括运算器和控制器,是计算机的信息处理的中心部件。存储器、运算器和控制器在信息处理操作中起主要作用,是计算机硬件的主体部分,通常被称为“主机”。字长决定了计算机的运算精度、指令字长度、存储单元长度等,可以是8/16/32/64/128位(bit)等。

7、常用的计算机性能指标有哪些?

答:评价计算机性能是一个复杂的问题,早期只限于字长、运算速度和存储容量3大指标。目前要考虑的因素有如下几个方面。

(1) 主频

主频很大程度上决定了计算机的运行速度,它的单位是兆赫兹(MHz)。

(2) 字长

字长决定了计算机的运算精度、指令字长度、存储单元长度等,可以是8/16/32/64/128位(bit)。

(3) 运算速度

衡量计算机运算速度的早期方法是每秒执行加法指令的次数,现在通常用等效速度。

(4) 存储容量

以字为单位的计算机常以字数乘字长来表明存储容量。

(5) 可靠性

系统是否运行稳定非常重要,常用平均无故障时间(MTBF)衡量。

(6) 可维护性

系统可维护性是指系统出了故障能否尽快恢复,可用平均修复时间(MTRF)表示,它是指从故障发生到机器修复平均所需要的时间。

(7) 可用性

是指计算机的使用效率。

(8) 兼容性

兼容是广泛的概念,是指设备或程序可以用于多种系统的性能。兼容使得机器的资源得以继承和发展,有利于计算机的推广和普及。

8、多媒体的含义是什么?

答:多媒体技术是指能够同时获取、处理、编辑、存储和展示两个以上不同信息类型媒体的技术。计算机信息的形式可以是文字、声音、图形和图象等。

9、简单描述计算机的层次结构,说明各层次的主要特点。

答:现代计算机系统是一个硬件与软件组成的综合体,可以把它看成是按功能划分的多级层次结构。

第0级为硬件组成的实体。

第1级是微程序级。这级的机器语言是微指令集,程序员用微指令编写的微程序一般是直接由硬件执行的。

第2级是传统机器级。这级的机器语言是该机的指令集,程序员用机器指令编写的程序可以由微程序进行解释。

第3级操作系统级。从操作系统的基本功能来看,一方面它要直接管理传统机器中的软硬件资源,另一方面它又是传统机器的延伸。

第4级是汇编语言级。这级的机器语言是汇编语言,完成汇编语言翻译的程序叫做汇编程序。

第5级是高级语言级。这级的机器语言就是各种高级语言,通常用编译程序来完成高级语言翻译工作。

第6级是应用语言级。这一级是为了使计算机满足某种用途而专门设计的,因此这一级语言就是各种面向问题的应用语言。

10、计算机系统的主要技术指标有哪些?

计算机系统的主要技术指标有:机器字长、数据通路宽度、主存储器容量和运算速度等。

机器字长是指参与运算的数的基本位数,它是由加法器、寄存器的位数决定的。

数据通路宽度是指数据总线一次所能并行传送信息的位数。

主存储器容量是指主存储器所能存储的全部信息。

运算速度与机器的主频、执行什么样的操作、主存储器本身的速度等许多因素有关。11、试计算采用32×32点阵字形的一个汉字字形占多少字节?存储6763个16×16点阵以及24×24点阵字形的汉字库各需要多少存储容量?

答:128B 216416B 486936B

12、海明校验码的编码规则有哪些?

答:若海明码的最高位号为m,最低位号为1,即HmHm-1…H2H1,则海明码的编码规则是:(1)校验位与数据位之和为m,每个校验位P i在海明码中被分在位号2i-1的位置上,其余各位为数据位,并按从低向高逐位依次排列的关系分配各数据位。

(2)海明码的每一位位码H i(包括数据位和校验位)由多个校验位校验,其关系是被校验的每一位位号要等于校验它的各校验位的位号之和。

13、简述CRC码的纠错原理。

答:CRC码是一种纠错能力较强的编码。在进行校验时,将CRC码多项式与生成多项式G(X)相除,若余数为0,则表明数据正确;当余数不为0时,说明数据有错。只要选择适当的生成多项式G(X),余数与CRC码出错位位置的对应关系是一定的,由此可以用余数作为依据判断出错位置从而纠正错码。

14、运算器由哪几部分组成?

答:运算器的基本结构应包括以下几个部分:

(1) 能实现算术和逻辑运算功能的部件ALU;

(2) 存放待加工的信息或加工后的结果信息的通用寄存器组;

(3) 按操作要求控制数据输入的部件:多路开关或数据锁存器;

(4) 按操作要求控制数据输出的部件:输出移位和多路开关;

(5) 计算器与其它部件进行信息传送的总线以及总线接收器与发送器;总线接收

器与发送器通常是由三态门构成的。

15、主存储器有哪些性能指标?它们的含义是什么?

答:存储器的性能指标是对存储器进行设计、使用和提高时的主要依据,存储器性能指标也称为存储器参数。

(1)存储容量是指一个功能完备的存储器所能容纳的二进制信息总量,即可存储多少位二进制信息代码。

(2)存储器速度:存储器取数时间和存储器存取周期

(3)数据传输率:单位时间可写入存储器或从存储器取出信息的最大数量,称为数据传输率或称为存储器传输带宽b M

(4)可靠性存储器的可靠性是指在规定时间内存储器无故障的情况,一般用平均无故障时间MTBF来衡量。

(5)价格:又称成本,它是衡量主存储器经济性能的重要指标。

16、主存的基本组成有哪些部分?各部分主要的功能是什么?

答:主存储器的基本组成:

(1)贮存信息的存储体。一般是一个全体基本存储单元按照一定规则排列起来的存储阵列。存储体是存储器的核心。

(2)信息的寻址机构,即读出和写入信息的地址选择机构。这包括:地址寄存器(MAR)和地址译码器。地址译码器完成地址译码,地址寄存器具有地址缓冲功能。

(3)存储器数据寄存器MDR。在数据传送中可以起数据缓冲作用。

(4)写入信息所需的能源,即写入线路、写驱动器等。

(5)读出所需的能源和读出放大器,即读出线路、读驱动器和读出放大器。

(6)存储器控制部件。包括主存时序线路、时钟脉冲线路、读逻辑控制线路,写或重写逻辑控制线路以及动态存储器的定时刷新线路等,这些线路总称为存储器控制部件。17、静态MOS存储元、动态MOS存储元各有什么特点?

答:在MOS半导体存储器中,根据存储信息机构的原理不同,又分为静态MOS存储器(SRAM)和动态MOS存储器(DRAM),前者利用双稳态触发器来保存信息,只要不断电,信息不会丢失,后者利用MOS电容存储电荷来保存信息,使用时需不断给电容充电才能使信息保持。

18、什么是刷新?为什么要刷新?有哪几种常用的刷新方式?

答:对动态存储器要每隔一定时间(通常是2ms)给全部基本存储元的存储电容补充一次电荷,称为RAM的刷新,2ms是刷新间隔时间。由于存放信息的电荷会有泄漏,动态存储器的电荷不能象静态存储器电路那样,由电源经负载管源源不断地补充,时间一长,就会丢失信息,所以必须刷新。常用的刷新方式有两种:集中式刷新、分布式刷新。

19、简要说明提高存储器速度有哪些措施?

答:高速缓冲存储器、多体交叉存储器。

20、Cache有哪些特点?

答:Cache具有如下特点:

(1) 位于CPU与主存之间,是存储器层次结构中级别最高的一级。

(2) 容量比主存小,目前一般有数KB到数MB。

(3) 速度一般比主存快5~10倍,通常由存储速度高的双极型三极管或SRAM组成。

(4) 其容量是主存的部分副本。

(5) 可用来存放指令,也可用来存放数据。

(6) 快存的功能全部由硬件实现,并对程序员透明。

21、如何区别存储器和寄存器?两者是一回事的说法对吗?

答:存储器和寄存器不是一回事。存储器在CPU的外边,专门用来存放程序和数据,访问存储器的速度较慢。寄存器属于CPU的一部分,访问寄存器的速度很快。

22、存储器的主要功能是什么?为什么要把存储系统分成若干个不同层次?主要有哪些层次?

答:存储器的主要功能是用来保存程序和数据。存储系统是由几个容量、速度和价格各

不相同的存储器用硬件、软件以及硬件与软件相结合的方法连接起来的系统。把存储系统分成若干个不同层次的目的是为了解决存储容量、存取速度和价格之间的矛盾。由高速缓冲存储器、主存储器和辅助存储器构成的三级存储系统可以分为两个层次,其中高速缓冲和主存间称为Cache-主存存储层次(Cache存储系统);主存和辅存间称为主存-辅存存储层次(虚拟存储系统)。

23、说明存储周期和存取时间的区别。

答:存取周期是指主存进行一次完整的读写操作所需的全部时间,即连续两次访问存储器操作之间所需要的最短时间。存取时间是指从启动一次存储器操作到完成该操作所经历的时间。存取周期一定大于存取时间。

24、指令格式设计的准则有哪些?

答:一台计算机选择怎样的指令格式,涉及多方面因素。一般要求指令的字长要短一些,以得到时间和空间上的优势。但指令也必须有足够的长度以利于增加信息量。再者,指令字长一般应是机器字符长度的整数倍以便存储系统的管理。另外,指令格式的设计还与如何选定指令中操作数地址的位数有关。

25、指令是灵活多变的,体现在哪些方面?

答:指令是灵活多变的,主要体现在以下几个方面:指令格式多样;寻址方式丰富;指令类型多种;操作码位数可随地址码个数变化而变化(扩展操作码方式);指令长度可变等。

26、试比较基址寻址和变址寻址的异同点。

答:基址寻址方式和变址寻址方式,在形式上是类似的。但用户可使用变址寻址方式编写程序,而基址寻址方式中对于基址寄存器,用户程序无权操作和修改,由系统软件管理控制程序使用特权指令来管理的。再者基址寻址方式主要用以解决程序在存储器中的定位和扩大寻址空间等问题。

27、堆栈是什么?它有什么特点?功能有哪些?

答:(1)堆栈的概念

?是若干个存储单元(或寄存器)的有序集合,它顺序地存放一组元素。

?数据的存取都只能在栈顶单元内进行,即数据的进栈与出栈都只能经过栈顶单元

这个“出入口”。

?堆栈中的数据采用“先进后出”或“后进先出”的存取工作方式。

(2)堆栈结构在计算机中的作用

?具有堆栈结构的机器使用零地址指令,这不仅合指令长度短,指令结构简单,机器硬件简化。

?实现程序调用,子程序嵌套调用和递归调用。

?对于“中断”技术,堆栈更是不可缺少的,保存“断点”和“现场”。

(3)堆栈的操作

设数据进栈方向为从高地址向低地址发展,当向堆栈压入数据时,SP的内容先自动递减而指向一个新的空栈顶单元,再把数据写入此栈顶单元;当数据弹出堆栈时,立即读出SP所指向的栈顶单元内容,再把SP内容自动递增而指向新的栈顶位置。即

PUSH X;(SP)-1→SP

(X)→(SP)

POP X;((SP))→X

(SP)+1 SP

28、指令长度和机器字长有什么关系?半字长指令、单字长指令、双字长指令分别表示什么?

答:指令长度与机器字长没有固定关系,指令长度可以等于机器字长,也可以大于或小于机器字长。通常,把指令长度等于机器字长的指令称为单字长指令;指令长度等于半个机器字长的指令称为半字长指令;指令长度等于两个机器字长的指令称为双字长指令。

29、计算机进行程序控制工作的基本原理是怎样的?

答:程序控制原理:

(1)编程;

(2)送MM(通过输入设备);

(3)机器工作时,是按一定的序列逐条取出指令,分析指令,执行指令,并自动转到下一条指令执行,直到程序规定的任务完成;

(4)程序控制由控制器承担,程序存储由存储器完成。

30、控制器的基本功能是什么?基本组成部件包括哪些?

答:控制器的基本功能就是负责指令的读出,进行识别和解释,并指挥协调各功能部件执行指令。控制器的基本结构包括:指令部件、时序部件、微操作控制线路、中断控制逻辑。

31、微程序控制的基本思想是什么?

答:微程序控制技术在现今计算机设计中得到广泛的采用,其实质是用程序设计的思想方法来组织操作控制逻辑。

32、说明机器指令和微指令的关系。

答:抽象级别不同。机器指令是由一组二进制代码组成的。微指令是具有微地址的控制字。一系列微指令的有序集合构成微程序。在微程序控制逻辑法中,机器指令由微程序实现。格式不同。机器指令包括操作码和操作数地址码字段,微指令根据编译法的不同有多种情况,一般包括微操作信息和下地址字段。

33、控制器有哪几种控制方式?各自有什么特点?

答:控制器的控制方式可以分为3种:同步控制方式、异步控制方式和联合控制方式。

同步控制控制方式的各项操作都由统一的时序信号控制,在每个机器周期中产生统一数目的节拍电位和工作脉冲。这种控制方式设计简单,容易实现;但是对于许多简单指令来说会有较多的空闲时间,造成较大数量的时间浪费,从而影响了指令的执行速度。

异步控制方式的各项操作不采用统一的时序信号控制,而根据指令或部件的具体情况决定,需要多少时间,就占用多少时间。异步控制方式没有时间上的浪费,因而提高了机器的效率,但是控制比较复杂。

联合控制方式是同步控制和异步控制相结合

34、指令和数据都存放在主存,如何识别从主存储器中取出的是指令还是数据?

答:指令和数据都存放在主存,它们都以二进制代码形式出现,区分的方法为:

(1)取指令或数据时所处的机器周期不同:取指周期取出的是指令;分析、取数或执行周期取出的是数据。

(2)取指令或数据时地址的来源不同:指令地址来源于程序计算器;数据地址来源于

地址形成部件。

35、什么是微指令和微操作?微程序和机器指令有何关系?微程序和程序之间有何关系?

答:微指令是控制计算机各部件完成某个基本微操作的命令。微操作是指计算机中最基本的、不可再分解的操作。微指令和微操作是一一对应的,微指令是微操作的控制信号,微操作是微指令的操作过程。微指令是若干个微命令的集合。微程序是机器指令的实时解释器,每一条机器指令都对应一个微程序。

微程序和程序是两个不同的概念。微程序是由微指令组成的,用于描述机器指令,实际上是机器指令的实时解释器,微程序是由计算机的设计者事先编制好并存放在控制存储器中的,一般不提供给用户;程序是由机器指令组成的,由程序员事先编制好并存放在主存放器中。

36、比较水平微指令和垂直微指令的优缺点。

答:(1)水平型微指令并行操作能力强、效率高并且灵活性强,而垂直型微指令则较差。(2)水平型微指令执行一条指令的时间短,垂直型微指令执行时间长。3)由水平型微指令解释指令的微程序,因而具有微指令字比较长,但微程序短的特点,而垂直型微指令则正好相反。

(4)水平型微指令用户难以掌握,而垂直型微指令与指令相似,相对来说比较容易。

37、比较单总线、双总线和多总线结构的性能特点。

答:在单总线结构中,要求连接到总线上的逻辑部件必须高速运行,以便在某些设备需要使用总线时,能迅速获得总线控制权;而当不再使用总线时,能迅速放弃总线控制权。否则,由于一条总线由多种功能部件共用,可能导致很大的时间延迟。

在双总线结构中,存在2种总线:存储总线,用于CPU与主存储器的信息交换;I/O 总线,用于外设与主机的信息交换。

在双总线结构的基础之上,为了使高速外设(如磁盘机)能高速度地与主存储器进行数据交换,在高速外设与主存储器之间可以增设直接存储器访问(DMA:Direct Memory Access)方式的高速I/O总线(DMA总线),从而形成多总线结构

38、什么叫总线周期、时钟周期、指令周期?它们之间一般有什么关系?

答:时钟周期是系统工作的最小时间单位,它由计算机主频决定;总线周期指总线上两个设备进行一次信息传输所需要的时间(如CPU对存储器或I/O端口进行一次读/写操作所需的时间);指令周期指CPU执行一条指令所需要的时间。

三者之间的关系是:时钟周期是基本动作单位;一个总线周期通常由n个时钟周期组成;而一个指令周期中可能包含有一个或几个总线周期,也可能一个总线周期都没有,这取决于该指令的功能。

39、说明总线结构对计算机系统性能的影响。

答:主要影响有以下三方面:

(1)最大存储容量

单总线系统中,最大内存容量必须小于由计算机字长所决定的可能地址总线。

双总线系统中,存储容量不会受到外围设备数量的影响

(2)指令系统

双总线系统,必须有专门的I/O指令系统

单总线系统,访问内存和I/O使用相同指令

(3)吞吐量

总线数量越多,吞吐能力越大

40、接口电路在系统结构中的作用是什么?

答:外设接口(或叫作I/O接口)是主机和外设(控制器)之间的实体部件,是实现主机与外设之间信息交换所必不可少的硬件支持。

41、接口电路应具备哪些基本功能?

答:接口电路应具有的基本的功能:(1)数据的暂存与缓冲;(2)保存设备的工作状态;(3)信息交换方式的控制;(4)通信联络控制;(5)外设的识别;(6)数据格式的变换控制。

42、外部设备在系统中如何编址,如何与主机连接?

答:通常根据与存储器地址的关系,有两种编址方式。

(1)统一编址:指外设接口中的I/O寄存器和主存单元一样看待,将它们和主存单元组合在一起编排地址;或者说,将主存的一部分地址空间用作I/O地址空间。这样就可以用访问主存的指令去访问外设的某个寄存器,因而也就不需要专门的I/O指令,可以简化CPU 的设计。

(2)单独编址:为了更清楚地区别I/O操作和存储器操作,I/O地址通常与存储地址分开独立编址。这样,在系统中就存在了另一种与存储地址无关的I/O地址,CPU也必须具有专用于输入输出操作的I/O指令和控制逻辑。

43、什么是I/O组织方式?有哪几种I/O组织方式?各自的特点是什么?

答:I/O组织是指计算机主机与外部设备之间的信息交换方式。计算机主机与外设之间的信息交换方式有5种:程序查询式、中断式、DMA式、通道式、外围处理机方式。

从系统结构的观点看,前两种方式是以CPU为中心的控制,都需要CPU执行程序来进行I/O数据传送,而DMA式和通道式这两种方式是以主存贮器为中心的控制,数据可以在主存和外设之间直接传送。对于最后一种方式,则是用微型或小型计算机进行输入和输出控制。程序查询和程序中断方式适用于数据传输率比较低的外设,而DMA、通道和外围处理机使用于数据传输率比较高的外设。程序查询式控制简单,但系统效率很低;中断式通过服务程序完成数据交换,实现了主机与外设的并行性;DMA式通过硬件实现了数据传送,速度快,但只能控制同一类外设;通道式采用执行通道程序实现对不同类型设备的控制和管理,并行性进一步提高;外围处理机方式具有更大的灵活性和并行性。

44、查询方式和中断方式的主要异同点是什么?

答:两种方式都是以CPU为中心的控制方式,都需要CPU执行程序来进行I/O数据传送。程序查询式控制简单,但系统效率很低,无法实现并行操作;中断式通过服务程序完成数据交换,实现了主机与外设的并行性。

45、什么是中断?中断技术给计算机系统带来了什么作用?

答:中断是指这样一个过程:当计算机执行正常程序时,系统中出现某些异常情况或特殊请求,CPU暂停它正在执行的程序,而转去处理所发生的事件;CPU处理完毕后,自动返回到原来被中断了的程序继续运行。中断的作用:(1)主机与外部设备并行工作;(2)实现实时处理;(3)硬件故障处理;(4)实现多道程序和分时操作。

46、中断系统为什么要进行中断判优?何时进行中断判优?如何进行判优?

答:(1)中断优先级有两个方面的含义:(A)一是中断请求与CPU现行程序优先级的问题;(B)另一含义是各中断源之间,谁更迫切的问题。(2)方法:(A)软件;(B)硬件:为了得到较高的效率,一般采用硬件判优方法。判优逻辑随着判优方案的不同可有不同的结构,其组成部分既可能在设备接口之中,也可能在CPU内部,也可能这两部分都有。

其作用是决定CPU的响应并且找出最高优先请求者,如果确定接收这个请求的话,就由CPU 发出中断响应信号INTA。(C)软硬件结合。中断判优发生在中断过程的第二步,中断请求之后,中断响应之前。

47、外部设备有哪些主要功能?可以分为哪些大类?各类中有哪些典型设备?

答:外部设备的主要功能有数据的输入、输出、成批存储以及对信息的加工处理等。外部设备可以分为五大类:输入输出设备、辅助存储器、终端设备、过程控制设备和脱机设备。其典型设备有键盘、打印机、磁盘、智能终端、数/模转换器和键盘-软盘数据站等。

48、磁表面存储器的特点有哪些?

答:磁表面存储器有如下显著的特点:

(1)存储密度高,记录容量大,每位价格低;

(2)记录介质可以重复使用;

(3)记录信息可长时间保存而不致丢失;

(4)非破坏性读出,读出时不需再生信息;

(5)存取速度较低,机械结构复杂,对工作环境要求较严。

三、分析与计算题

1、设机器字长32位,定点表示,尾数31位,数符1位,问:

(1) 定点原码整数表示时,最大正数是多少?最大负数是多少?

(2) 定点原码小数表示时,最大正数是多少?最大负数是多少?

答:(1

数值= (231– 1)10

数值= -(231– 1)10

(2)定点原码小数表示:

最大正数= (1 – 2-31)10

最大负数= -(1 – 2-31 )10

2、现有1024×1的存储芯片,若用它组成容量为16K×8的存储器。试求:

(1) 实现该存储器所需的芯片数量?

(2) 若将这些芯片分装在若干个块板上,每块板的容量为4K×8,该存储器所需的地

址线总位数是多少?其中几位用于选板?几位用于选片?几位用作片内地址?

答:(1)需1024×1的芯片128片。

(2)该存储器所需的地址线总位数是14位,其中2位用于选板,2位用于选片,10位用作片内地址。

3、设存储器容量为32位,字长64位,模块数m = 8,分别用顺序方式和交叉方式进行组

织。若存储周期T = 200ns,数据总线宽度为64位,总线传送周期为50ns,则顺序存储器和交叉存储器带宽各是多少?

答:顺序存储器和交叉存储器连续读出m=8个字的信息总量都是:

q = 64位× 8 =512位

顺序存储器和交叉存储器连续读出8个字所需的时间分别是:

t2 = mT = 8 × 200ns =1600ns =16 × 10 -7(S)

t1 = T + (m–1)t =200ns + 7×50ns = 550ns = 5.5 × 10-7(S)顺序存储器带宽W2 = q/t2 = 512 / (16×10-7)= 32 × 107(位/S)

交叉存储器带宽W1 = q/t1 = 512/ (5.5×10-7)= 73 × 107(位/S)

4、CPU的地址总线16根(A15~A0,A0是低位),双向数据总线16根(D15~D0),控制总线

中与主存有关的信号有!MREQ(允许访存,低电平有效),R/!W(高电平读命令,低电平写命令)。主存地址空间分配如下:0~8191为系统程序区,由EPROM芯片组成,从8192起一共32K地址空间为用户程序区,最后(最大地址)4K地址空间为系统程序工作区。如图1所示。上述地址为十进制,按字编址。现有如下芯片。

EPROM:8K×16位(控制端仅有!CS),16位×8位

SRAM:16K×1位,2K×8位,4K×16位,8K×16位

请从上述芯片中选择芯片设计该计算机的主存储器,画出主存逻辑框图。

8191

8192

40960

61429

65535

图1 地址分配情况

答:主存地址分布及芯片连接图如图2所示。根据给定条件,选用EPROM 8K×16位芯片1片,SRAM 8K×16位芯片4片,4K×16位芯片1片,3:8译码器1片,与非门及反向器。

A12-A0进行片内译码

A15-A13进行片外译码(8组)

图2 主存地址分布及芯片连接图

5、某计算机指令字长16位,地址码是6位,指令有无地址、一地址和二地址3种格式,

设有N条二地址指令,无地址指令M条,试问1地址指令最多有多少条?

解:设1地址指令有X条

((24-N)*26-X)*26=M

得:X=(24-N)*26-M*2-6

6、假设某计算机指令长度为20位,具有双操作数、单操作数和无操作数3类指令格式,

每个操作数地址规定用6位表示。问:若操作码字段固定为8位,现已设计出m条双操作数指令,n条无操作数指令,在此情况下,这台计算机最多可以设计出多少条单操作数指令?

答:由于设定全部指令采用8位固定的OP字段,故这台计算机最多的指令条数为28=256 条。因此最多还可以设计出(256-m-n)条单操作数指令。

7、有4级流水线分别完成取指、指令译码并取数、运算、送结果4步操作,假设完成各步操作的时间依次为100ns、80ns、50ns。

(1) 流水线的操作周期应设计为多少?

(2) 若相邻2条指令发生数据相关,而且在硬件上不采取措施,那么第2条指令要推迟多少时间进行?

(3) 如果在硬件设计上加以改进,至少需推迟多少时间?

解:

(1)流水线的操作时钟周期t按四步操作中最长时间来考虑,所以t=100ns。

(2)两条指令发生数据相关冲突情况:

ADD R1,R2,R3;R2+R3->R1

SUB R4,R1,R5;R1-R5->R4

两条指令在流水线中执行情况如表6-4所示:

ADD 指令在时钟4时将结果写入寄存器堆(R 1),但SUB 指令在时钟3时读寄存器堆(R 1)。本来ADD 指令应先写入R 1,SUB 指令后读R 1,结果变成SUB 指令先读R 1,ADD 指令后写R 1,因而了发生两条指令间数据相关。如果硬件上不采取措施,第2条指令SUB 应至少推迟2个操作时钟周期(2×100ns )。

(3)如果硬件上加以改进(采取旁路技术),可推迟1个操作时钟周期(100ns )。

8、指令流水线右取指(IF) 、译码(ID)、执行 (EX) 、访存(MEM)、写回寄存器堆(WB)五个过程段,共有20条指令连续输入此流水线。 (1)画出流水处理的时空图,假设时钟周期为100ns 。

(2)求流水线的实际吞吐率(单位时间里执行完毕的指令数)。

解:(1)

1 2 3 4 51 2 3 4 5

1 2 3 4 5

1 2 3 4 5

1 2 3 4 5

0 t 1 t 2 t 3 t 4 t 5 t 6 t 7 t 8 t 9 t 19 t 20 1 2 3 4 5 15 16

时间T 空间S WB MEM EX ID IF

(2)

秒条/10*33.810

*100*)1205(20)1(69=-+=-+=-τn K n H 9、 某系统总线的一个存取周期最快为3个总线时钟周期,在一个总线周期中可以存取32位数据。若总线的时钟频率为8.33MHz ,则总线的带宽为多少MB/s ?

解:总线的带宽=数据宽度×总线周期的最高频率

=(32/8)Byte ×(8.33/3)M/s

= 11.1 MB/s

10、 某磁盘组有6片磁盘,每片可有2个记录面,存储区域内径为22cm ,外径为33cm ,道密度40道/cm ,位密度400b/cm ,转速2400 r/min 。试问:

(1) 共有多少个存储面可用?

(2) 共有多少个圆柱面?

(3) 整个磁盘组的总存储总量有多少?

(4) 数据传送率是多少?

(5) 如果某文件长度超过一个磁盘的容量,应将它记录在同一存储面上还是记录在同一圆柱面上?为什么?

(6) 如果采用定长信息块记录格式,直接寻址的最小单位是什么?寻址命令中如何表示磁盘地址?

答:(1)6×2=12(面),共有12个存储面可用。

(2)40×(33-22)/2=220(道),共有220个圆柱面。

(3)12×22π×400×220=73×106(位)。

(4)数据传送率=(22π×400)/(60/2400)=1.1×106(b/s)=0.138×106(B/s)。

(5)记录在同一圆柱面上。因为这样安排存取速度快。

(6)如果采用定长信息块记录格式,直接寻址的最小单位是扇区。磁盘地址:驱动器号、圆柱面号、盘面号、扇区号。

11、某磁盘存储器的转速为3000r/min,共有4个记录面,5道/mm,每道记录信息为12288B,最小磁道直径为230mm,共有275道,问:

(1) 磁盘存储器的存储容量是多少?

(2) 最大位密度,最小位密度是多少?

(3) 磁盘数据传输率是多少?

(4) 平均等待时间是多少?

给出一个磁盘地址格式方案。

答:(1)每道记录信息容量=12288字节,每个记录面信息容量=275×12288字节,共有4个记录面,所以磁盘存储器总容量为

4×275×12288字节= 13516800字节

(2)最高位密度D1按最小磁道半径R1计算(R1 = 115mm):

D1 = 12288字节/2πR1= 17字节/mm

最低位密度D2按最大磁道半径R2计算

R2 = R1 +(275/5)= 115 + 55 = 170mm

D2 = 12288字节/2πR2 = 11.5字节/mm

(3)磁盘数据传输率

r = 3000/60 = 50周/秒

N = 12288字节(每道信息容量)

C = r×N = 50×12288 = 614400字节/秒

(4)平均等待时间= 1/2r = 1/2×50 = 1/100秒= 10毫秒

(5)本地磁盘存储器假设只有一台。有4个记录面,每个记录面有275个磁道。假设每个扇区记录1024个字节,则需要12288字节/1024字节=12个扇区。由此可得如图9-29的地址格式:

图9-29 地址格式

12、一台有6个盘片的磁盘组,转速为2400r/min(每分钟转速),盘面有效记录区域的外直径为30cm,内直径为20cm,记录密度为640b/m(每毫米的位数),磁道间距为0.2cm,盘片设有2个保护面,1个伺服面。试计算:

(1) 盘组的存储容量。

(2) 数据传输率。

解:(注意,需要统一单位)

(1)(2*6-3)*(30-20)/2/0.2*(2*20/2*PI*640)

(2)(2*20/2*PI*640)*2400/60

13、设有两个浮点数x=2 Ex×S x,y=2 Ey×S y,E x=(-10)2,S x=(+0.1001)2,E y=(+10)2,

S y =(+0.1011)2。若尾数4位,数符1位,阶码2位,阶符1位,求x+y 并写出运算步骤及结果。

解:

因)()

(2Y x y x E E E S S y x X =+=+,求X+Y 要经过对阶、尾数求和及规格化等步骤。 (1)对阶:

△J=E x -E y =(-10)2-(+10)2=(-100)2 所以E x

(2)尾数求和: S x +S y

0.0001(S x )

+ 0.1011(S y )

S x +S y =0.1100

结果为规格化数。

22)10()10()00.11()1100.0(2)(222==+?=+y x S S y x

14、设有两个十进制数,x = -0.875 × 21,y = 0.625 × 22:

(1) 将x 、y 的尾数转换为二进制补码形式。

(2) 设阶码2位,阶符1位,数符1位,尾数3位,通过补码运算规则求出z = x – y 的二进制浮点规格化结果。

解:

(1)设S 1为x 的尾数,S 2为y 的尾数,则

S 1 = (-0.875)10 = (-0.111)2

[S 1]补 = 1.001

S 2 = (0.625)10 = (+0.101)2

[S 2]补 = 0.101

(2)求z = x – y 的二进制浮点规格化结果。

(A )对阶:

设x 的阶码为j x ,y 的阶码为j y , j x = (+01)2,j y = (+10)2, j x – j y = (01)2 – (10)2 = (-01)2,小阶的尾数S 1右移一位, S 1 = (-0.0111)2,j x 阶码加1,则j x = (10)2 = j y ,经舍入后, S 1 = (-0,100)2,对阶完毕。

22)100.0()10(122-?=?=S x X j

22)101.0()10(222+?=?=S y y j

(B )尾数相减

[S 1]补= 11.100

+ [-S 2]补= 11.011

[S 1-S 2]补= 10.111 尾数求和绝对值大于1

尾数右移一位,最低有效位舍掉,阶码加1(右规),则[S 1-S 2]补 = 11.011(规

格化数),j x = j y = 11

(C)规格化结果011.1011

计算机组成原理试题及答案

A .(7CD )16 B. ( 7D0)16 C. (7E0)16 D. 3. 下列数中最大的数是 _______ 。 A .(10011001) 2 B. (227) 8 C. (98)16 4. ____ 表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是 A. BCD 码 B. 16 进制 C. 格雷码 6. 下列有关运算器的描述中, ______ 是正确的 A. 只做算术运算,不做逻辑运算 B. C. 能暂时存放运算结果 D. 7. EPROM 是指 ____ 。 A. 读写存储器 B. C. 可编程的只读存储器 D. 8. Intel80486 是 32位微处理器, Pentium 是A.16 B.32 C.48 D.64 9 .设]X ]补=1.XXX 3X 4,当满足 _________ ■寸,X > -1/2 成立。 A. X 1必须为1,X 2X 3X 4至少有一个为1 B. X 1必须为1 , X 2X 3X 4任意 C. X 1必须为0, X 2X 3X 4至少有一个为1 D. X 1必须为0, X 2X 3X 4任意 10. CPU 主要包括 _____ 。 A.控制器 B. 控制器、运算器、cache C.运算器和主存 D.控制器、ALU 和主存 11. 信息只用一条传输线 ,且采用脉冲传输的方式称为 _________ 。 A. 串行传输 B. 并行传输 C. 并串行传输 D. 分时传输 12. 以下四种类型指令中,执行时间最长的是 _________ 。 A. RR 型 B. RS 型 C. SS 型 D. 程序控制指令 13. 下列 _____ 属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D. 文本处理 14. 在主存和CPU 之间增加cache 存储器的目的是 _____ 。 A. 增加内存容量 B. 提高内存可靠性 C.解决CPU 和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速 度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用 ____________ 作为存储芯 片。 A. SRAM B. 闪速存储器 C. cache D. 辅助存储器 16. 设变址寄存器为X ,形式地址为D, (X )表示寄存器X 的内容,这种寻址方式的有 效地址为 ______ 。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为 ___________ 。 A. 隐含寻址 B. 立即寻址 C. 寄存器寻址 D. 直接寻址 18. 下述 I/O 控制方式中,主要由程序实现的是 ________ 。 7F0)16 D. ( 152)10 o D. ASC H 码 只做加法 既做算术运算,又做逻辑运算 只读存储器 光擦除可编程的只读存储器 位微处理器。

计算机组成原理期末试题

第一章计算机系统概论 计算机的硬件是由有形的电子器件等构成的,它包括运算器、存储器、控制器、适配器、输入输出设备。早起将运算器和控制器合在一起称为CPU(中央处理器)。目前的CPU包含了存储器,因此称为中央处理器。存储程序并按地址顺序执行,这是冯·诺依曼型计算机的工作原理,也是CPU自动工作的关键。 计算机系统是一个有硬件、软件组成的多级层次结构,它通常由微程序级、一般程序级、操作系统级、汇编语言级、高级语言级组成,每一级上都能进行程序设计,且得到下面各级的支持。 习题:4冯·诺依曼型计算机的主要设计思想是什么?它包括那些主要组成部分? 主要设计思想是:存储程序通用电子计算机方案,主要组成部分有:运算器、逻辑控制装置、存储器、输入和输出设备 5什么是存储容量?什么是单元地址?什么是数据字?什么是指令字? 存储器所有存储单元的总数称为存储器的存储容量。每个存储单元都有编号,称为单元地址。如果某字代表要处理的数据,称为数据字。如果某字为一条指令,称为指令字 7指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 每一个基本操作称为一条指令,而解算某一问题的一串指令序列,称为程序 第二章运算方法和运算器 按 对阶操作。

直接使用西文标准键盘输入汉字,进行处理,并显示打印汉字,是一项重大成就。为此要解决汉字的输入编码、汉字内码、子模码等三种不同用途的编码。 1第三章 内部存储器 CPU 能直接访问内存(cache 、主 存) 双端口存储器和多模块交叉存储器属于并行存储器结构。 cache 是一种高速缓冲存储器,是为了解决CPU 和主存之间速度不匹配而采用的一项重要的硬件技术,并且发展为多级cache 体系,指令cache 与数据cache 分设体 系。要求cache 的命中率接近于1 适度地兼顾了二者的优点又尽量避免其缺点,从灵活性、命中率、硬件投资来说较为理想,因而得到了普遍采用。 习题: 1设有一个具有20位地址和32位字长的存储器,问: (1)该存储器能存储多少个字节的信息? (2)如果存储器由512K ×8位SRAM 芯片组成,需要多少片; (3)需要多少位地址做芯片选择? (1)字节M 4832*220= (2)片84*28 *51232*1024==K K (3)1位地址作芯片选择 2 已知某64位机主存采用半导体存储器,其地址码为26位,若使用4M ×8位DRAM 芯片组成该机所允许的最大主存空间,并选用内存条结构形式,问: (1) 若每个内存条16M ×64位,共需几个内存条? (2)每个内存条共有多少DRAM 芯片? (3)主存共需多少DRAM 芯片?CPU 如何选

计算机组成原理试题及答案

2. (2000)10化成十六进制数是______。 A.(7CD)16 B.(7D0)16 C.(7E0)16 D.(7F0)16 3. 下列数中最大的数是______。 A.(10011001)2 B.(227)8 C.(98)16 D.(152)10 4. ______表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是______。 A. BCD码 B. 16进制 C. 格雷码 D. ASCⅡ码 6. 下列有关运算器的描述中,______是正确的。 A.只做算术运算,不做逻辑运算 B. 只做加法 C.能暂时存放运算结果 D. 既做算术运算,又做逻辑运算 7. EPROM是指______。 A. 读写存储器 B. 只读存储器 C. 可编程的只读存储器 D. 光擦除可编程的只读存储器 8. Intel80486是32位微处理器,Pentium是______位微处理器。 A.16B.32C.48D.64 9. 设[X]补=1.x1x2x3x4,当满足______时,X > -1/2成立。 A.x1必须为1,x2x3x4至少有一个为1 B.x1必须为1,x2x3x4任意 C.x1必须为0,x2x3x4至少有一个为1 D.x1必须为0,x2x3x4任意 10. CPU主要包括______。 A.控制器 B.控制器、运算器、cache C.运算器和主存 D.控制器、ALU和主存 11. 信息只用一条传输线,且采用脉冲传输的方式称为______。 A.串行传输 B.并行传输 C.并串行传输 D.分时传输 12. 以下四种类型指令中,执行时间最长的是______。 A. RR型 B. RS型 C. SS型 D.程序控制指令 13. 下列______属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D.文本处理 14. 在主存和CPU之间增加cache存储器的目的是______。 A. 增加内存容量 B. 提高内存可靠性 C. 解决CPU和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用______作为存储芯片。 A. SRAM B. 闪速存储器 C. cache D.辅助存储器 16. 设变址寄存器为X,形式地址为D,(X)表示寄存器X的内容,这种寻址方式的有效地址为______。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为______。 1

计算机组成原理 试卷含答案

湖南师范大学2012—2013学年第一学期信息与计算科学专业2011年级期末/ 补考/重修课程 计算机组成原理考核试题 出卷人:毛禄广 课程代码:考核方式: 考试时量:分钟试卷类型:A/B/C/D 一、单选题(30分,每题2分) 1. 算术逻辑单元的简称为()B A、CPU。 B、ALU。 C、CU。 D、MAR。 2. EPROM是指()D A.读写存储器 B.只读存储器 C.闪速存储器 D.可擦除可编程只读存储器 3. 异步通信的应答方式不包括()D A、不互锁 B、半互锁 C、全互锁 D、以上都不包括 4. 三种集中式总线仲裁中,______方式对电路故障最敏感。A A、链式查询 B. 计数器定时查询 C. 独立请求D、以上都不正确 5. 下面说法正确的是:()B A、存储系统层次结构主要体现在缓存-主存层次上; B、缓存-主存层次主要解决CPU和主存速度不匹配的问题; C、主存和缓存之间的数据调动对程序员也是不透明的; D、主存和辅存之间的数据调动由硬件单独完成。 6. 动态RAM的刷新不包括( ) D A、集中刷新 B、分散刷新 C、异步刷新 D、同步刷新 7. 关于程序查询方式、中断方式、DMA方式说法错误的是()D A、程序查询方式使CPU和I/O设备处于串行工作状态,CPU工作效率不高; B、中断方式进一步提高了CPU的工作效率; C、三者中DMA方式中CPU的工作效率最高; D、以上都不正确。 第 1 页共5 页 8. 发生中断请求的条件不包括()D A.一条指令执行结束 B.一次I/O操作结束 C.机器内部发生故障 D.一次DMA操作结束 9. DMA的数据传送过程不包括()A A、初始化 B、预处理 C、数据传送 D、后处理 10. 下列数中最大的数为()B A.(10010101)2 B.(227)8 C.(96)8 D.(143)5 11. 设32位浮点数中,符号位为1位,阶码为8位,尾数位为23位,则它所能表示的最大规.格化正数为()B A +(2 – 2-23)×2+127B.[1+(1 – 2-23)]×2+127 C.+(2 – 223)×2+255D.2+127 -223 12. 定点运算中,现代计算机都采用_______做加减法运算。()B A、原码 B、补码 C、反码 D、移码 13._________中乘积的符号位在运算过程中自然形成。()C A、原码一位乘 B、原码两位乘 C、补码一位乘 D、以上都不是 14.设x为真值,x*为绝对值,则[-x*]补=[-x]补能否成立()C A、一定成立 B、不一定成立 C、不能成立 D、以上都不正确 15. 最少可以用几位二进制数即可表示任一5位长的十进制整数。()A A、17 B、16 C、15 D、18 二、填空题(共10分,每题1分) 1.总线控制分为判优控制和________。(通信控制) 2.总线通信常用四种方式________、异步通信、半同步通信、分离式通信。(同步通信) 3.按在计算机系统中的作用不同,存储器主要分为主存储器、辅助存储器、_________。(缓冲存 储器) 4.随机存取存储器按其存储信息的原理不同,可分为静态RAM和__________。(动态RAM) 5.I/O设备与主机交换信息的五种控制方式是程序查询方式、中断方式和、_________、I/O通道方 式和I/O处理机方式。(DMA方式) 6.程序查询方式中为了完成查询需要执行的三条指令分别为测试指令、________、转移指令。(传 送指令) 7.浮点数由阶码和________两部分组成。(尾数) 8.二进制数-0.1101的补码为__________。(10011) 9._______是补码一位乘中乘数符号为负时的方法。(校正法)

(完整版)计算机组成原理期末考试试题及答案

计算机组成原理试题 一、选择题(共20分,每题1分) 1.零地址运算指令在指令格式中不给出操作数地址,它的操作数来自____C__。 A.立即数和栈顶; B.暂存器; C.栈顶和次栈顶; D.累加器。 2.___C___可区分存储单元中存放的是指令还是数据。 A.存储器; B.运算器; C.控制器; D.用户。 3.所谓三总线结构的计算机是指_B_____。 A.地址线、数据线和控制线三组传输线。 B.I/O总线、主存总统和DMA总线三组传输线; C.I/O总线、主存总线和系统总线三组传输线; D.设备总线、主存总线和控制总线三组传输线.。 4.某计算机字长是32位,它的存储容量是256KB,按字编址,它的寻址范围是_____B_。 A.128K; B.64K; C.64KB; D.128KB。 5.主机与设备传送数据时,采用___A___,主机与设备是串行工作的。 A.程序查询方式; B.中断方式; C.DMA方式; D.通道。 6.在整数定点机中,下述第___B___种说法是正确的。 A.原码和反码不能表示-1,补码可以表示-1; B.三种机器数均可表示-1; C.三种机器数均可表示-1,且三种机器数的表示范围相同; D.三种机器数均不可表示-1。 7.变址寻址方式中,操作数的有效地址是___C___。 A.基址寄存器内容加上形式地址(位移量); B.程序计数器内容加上形式地址; C.变址寄存器内容加上形式地址; D.以上都不对。 8.向量中断是___C___。 A.外设提出中断; B.由硬件形成中断服务程序入口地址; C.由硬件形成向量地址,再由向量地址找到中断服务程序入口地址

计算机组成原理试题及答案

二、填空题 1 字符信息是符号数据,属于处理(非数值)领域的问题,国际上采用的字符系统是七单位的(ASCII)码。P23 2 按IEEE754标准,一个32位浮点数由符号位S(1位)、阶码E(8位)、尾数M(23位)三个域组成。其中阶码E的值等于指数的真值(e)加上一个固定的偏移值(127)。P17 3 双端口存储器和多模块交叉存储器属于并行存储器结构,其中前者采用(空间)并行技术,后者采用(时间)并行技术。P86 4 衡量总线性能的重要指标是(总线带宽),它定义为总线本身所能达到的最高传输速率,单位是(MB/s)。P185 5 在计算机术语中,将ALU控制器和()存储器合在一起称为()。 6 数的真值变成机器码可采用原码表示法,反码表示法,(补码)表示法,(移码)表示法。P19-P21 7 广泛使用的(SRAM)和(DRAM)都是半导体随机读写存储器。前者的速度比后者快,但集成度不如后者高。P67 8 反映主存速度指标的三个术语是存取时间、(存储周期)和(存储器带宽)。P67 9 形成指令地址的方法称为指令寻址,通常是(顺序)寻址,遇到转移指令时(跳跃)寻址。P112 10 CPU从(主存中)取出一条指令并执行这条指令的时间和称为(指令周期)。 11 定点32位字长的字,采用2的补码形式表示时,一个字所能表示

的整数范围是(-2的31次方到2的31次方减1 )。P20 12 IEEE754标准规定的64位浮点数格式中,符号位为1位,阶码为11位,尾数为52位,则它能表示的最大规格化正数为(+[1+(1-2 )]×2 )。 13 浮点加、减法运算的步骤是(0操作处理)、(比较阶码大小并完成对阶)、(尾数进行加或减运算)、(结果规格化并进行舍入处理)、(溢出处理)。P54 14 某计算机字长32位,其存储容量为64MB,若按字编址,它的存储系统的地址线至少需要(14)条。64×1024KB=2048KB(寻址范32围)=2048×8(化为字的形式)=214 15一个组相联映射的Cache,有128块,每组4块,主存共有16384块,每块64个字,则主存地址共(20)位,其中主存字块标记应为(9)位,组地址应为(5)位,Cache地址共(13)位。 16 CPU存取出一条指令并执行该指令的时间叫(指令周期),它通常包含若干个(CPU周期),而后者又包含若干个(时钟周期)。P131 17 计算机系统的层次结构从下至上可分为五级,即微程序设计级(或逻辑电路级)、一般机器级、操作系统级、(汇编语言)级、(高级语言)级。P13 18十进制数在计算机内有两种表示形式:(字符串)形式和(压缩的十进制数串)形式。前者主要用在非数值计算的应用领域,后者用于直接完成十进制数的算术运算。P19 19一个定点数由符号位和数值域两部分组成。按小数点位置不同,

计算机组成原理试题集

1.数字电子计算机的主要特点是存储容量大、(运算速度快),(运算精度高)。 2.计算机各组成部件相互连接方式,从早期的以(存储器)为中心,发展到现在以(运算器)为中心。 3.指令寄存器寄存的是(C ) A、下一条要执行的指令 B、已执行完了的指令 C 、正在执行的指令D、要转移的指令 4.衡量计算机的性能指标主要有哪些(答主要的三项指标),并说明为什么? 解:衡量计算机性能的指标主要有:计算速度、存储容量和通讯带宽等,计算机速度是反映CPU性能,也是反映计算机能力的主要指标之一。存储容量反映出计算机可以处理的数据量空间的大小。带宽反映出计算机处理信息的通讯能力。 5,决定指令执行顺序的寄存器是(PC),而记录指令执行结果的状态的寄存器是(状态字寄存器) 6.最早提出“存储程序程序”概念的是(A ) A、Babbage B、V.Neumann C、Pascal D、Bell 7.如何理解计算机组成和计算机体系结构? 8.第一台电子计算机(ENIAC)是于1946年交付使用。 9.单地址指令中为了实现两个数的算术运算,除地址码指明的一个操作数外,另一个采用(隐含)寻址方法。 10.假定指令系统有m条指令,指令操作码的位数为N位,则N至少应当等于()。 11.用n+1位字长(含一位符号位)表示原码定点整数时,所能表示的数值范围是(0﹤﹦N );用n+1位字长(含一位符号位)表示原码定点小数时,所能表示的数值范围是() 1. CPU包括()两部分。 A、ALU和累加器 B、ALU和控制器 C、运算器和控制器 D、ALU和主存储器 C 2. 计算机运算速度的单位是()。 A、MTBF B、MIPS C、MHZ D、MB B 3. 若十六进数微AC.B,则其十进制数为()。 A、254.54 B、2763 C、172.6875 D、172.625 C 4. 若十进制数据为137.5则其八进制数为()。 A、89.8 B、211.4 C、211.5 D、1011111.101

计算机组成原理期末考试

计算机组成原理试题 一、选择题 ( c )1、在下列四句话中,最能准确反映计算机主要功能的是下面哪项。 A.计算机可以存储大量信息 B.计算机能代替人的脑力劳动 C.计算机是一种信息处理机 D.计算机可实现高速运算 ( c )2、计算机硬件能直接执行的只能是下面哪项。 A.符号语言 B.汇编语言 C.机器语言 D.机器语言和汇编语言 ( c )3、运算器的核心部件是下面哪项。 A.数据总线 B.数据选择器 C.算术逻辑运算部件 D.累加寄存器 ( c )4、对于存储器主要作用,下面哪项说法正确。 A.存放程序 B.存放数据 C.存放程序和数据 D.存放微程序 ( c )5、至今为止,计算机中所含所有信息仍以二进制方式表示,其原因是下面哪项。 A.节约元件 B.运算速度快 C.物理器件性能决定 D.信息处理方便( a )6、CPU中有若干寄存器,其中存放存储器中数据的寄存器是下面哪项。 A.地址寄存器 B.程序计数器 C.数据寄存器 D.指令寄存器(d?)7、CPU中有若干寄存器,其中存放机器指令的寄存器是下面哪项。 A.地址寄存器 B.程序计数器 C.指令寄存器 D.数据寄存器 ( c )8、CPU中有若干寄存器,存放CPU将要执行的下一条指令地址的寄存器是下面哪项。 A.地址寄存器 B.数据寄存器 C.程序计数器 D.指令寄存器 (c)9、CPU中程序状态寄存器中的各个状态标志位是依据下面哪项来置位的。 A.CPU已执行的指令 B.CPU将要执行的指令 C.算术逻辑部件上次的运算结果 D.累加器中的数据 ( b )10、为协调计算机各部件的工作,需要下面哪项来提供统一的时钟。 A.总线缓冲器 B.时钟发生器 C.总线控制器 D.操作命令发生器 ( c )11、下列各种数制的数中最小的数是下面哪项。 A.(101001)2 B.(52)8 C.(101001)BCD D.(233)H ( d )12、下列各种数制的数中最大的数是下面哪项。 A.(1001011)2 B.75 C.(112)8 D.(4F)H ( b )13、将十进制数15/2表示成二进制浮点规格化数(阶符1位,阶码2位,数符1位,尾数4位)是下面哪项。 A.01101110 B.01101111 C.01111111 D.11111111

计算机组成原理期末考试试题及答案

计算机组成原理期末考试试题及答案 文稿归稿存档编号:[KKUY-KKIO69-OTM243-OLUI129-G00I-FDQS58-

计算机组成原理试题 一、选择题(共20分,每题1分) 1.零地址运算指令在指令格式中不给出操作数地址,它的操作数来自______。 A.立即数和栈顶; B.暂存器; C.栈顶和次栈顶; D.累加器。 2.______可区分存储单元中存放的是指令还是数据。 A.存储器; B.运算器; C.控制器; D.用户。 3.所谓三总线结构的计算机是指______。 A.地址线、数据线和控制线三组传输线。 B.I/O总线、主存总统和DMA总线三组传输线; C.I/O总线、主存总线和系统总线三组传输线; D.设备总线、主存总线和控制总线三组传输线.。 4.某计算机字长是32位,它的存储容量是256KB,按字编址,它的寻址范围是______。 A.128K; B.64K; C.64KB; D.128KB。 5.主机与设备传送数据时,采用______,主机与设备是串行工作的。 A.程序查询方式; B.中断方式; C.DMA方式; D.通道。 6.在整数定点机中,下述第______种说法是正确的。

A.原码和反码不能表示-1,补码可以表示-1; B.三种机器数均可表示-1; C.三种机器数均可表示-1,且三种机器数的表示范围相 同; D.三种机器数均不可表示-1。 7.变址寻址方式中,操作数的有效地址是______。 A.基址寄存器内容加上形式地址(位移量); B.程序计数器内容加上形式地址; C.变址寄存器内容加上形式地址; D.以上都不对。 8.向量中断是______。 A.外设提出中断; B.由硬件形成中断服务程序入口地址; C.由硬件形成向量地址,再由向量地址找到中断服务程序入口地址 D.以上都不对。 9.一个节拍信号的宽度是指______。 A.指令周期; B.机器周期; C.时钟周期; D.存储周期。 10.将微程序存储在EPROM中的控制器是______控制器。 A.静态微程序; B.毫微程序; C.动态微程序; D.微程序。 11.隐指令是指______。 A.操作数隐含在操作码中的指令; B.在一个机器周期里完成全部操作的指令; C.指令系统中已有的指令;

计算机组成原理试题及答案

计算机组成原理题集含答案 题库题目总数:293 第一章单选题 1、控制器、运算器和存储器合起来一般称为(主机): I/O部件 内存储器 外存储器 主机 2、冯?诺依曼机工作方式的基本特点是(按地址访问并顺序执行指令):按地址访问并顺序执行指令 精确结果处理 存储器按内部地址访问 自动工作 3、输入、输出设备以及辅助存储器一般统称为(外围设备): I/O系统 外围设备 外存储器 执行部件 4、计算机硬件能直接识别和执行的语言是(机器语言): 高级语言 汇编语言 机器语言 符号语言 判断题

5、若某计算机字代表一条指令或指令的一部分,则称数据字(错)。 6、若某计算机字是运算操作的对象,即代表要处理的数据,则称指令字(错)。 7、数字计算机的特点:数值由数字量(如二进制位)来表示,运算按位进行。(对) 8、模拟计算机的特点:数值由连续量来表示,运算过程是连续的。(对) 填空题 9、系统软件包括:服务程序、语言程序、(操作系统)、数据库管理系统。 10、计算机系统的发展按其核心部件采用器件技术来看经历了五代的变化,分别是(电子管)、(晶体管)、(集成电路)、(大规模集成电路)、(巨大规模集成电路)五个部分。 11、计算机系统是一个由硬件和软件组成的多级层次结构,这通常由(微程序级)、(一般机器级)、(操作系统级)、(汇编语言级)和(高级语言级)等组成,在每一级上都可以进行(程序设计)。 12、计算机的软件一般分为(系统软件)和(应用软件)两大部分。 13、计算机的硬件基本组成包括(控制器)、(运算器)、(存储器)、(输入设备)和(输出设备)五个部分。 简答题 14、什么是存储容量?什么是单元地址? 存储器所有存储单元的总数称为存储器的存储容量。灭个存储单元都有编号,称为单元地址。 15、什么是外存?简述其功能。 外存:为了扩大存储容量,又不使成本有很大的提高,在计算机中还配备了存储容量更大的磁盘存储器和光盘存储器,称为外存储器,简称外存。外存可存储大量的信息,计算机需要使用时,再调入内存。 16、什么是内存?简述其功能。 内存:一般由半导体存储器构成,装在底版上,可直接和CPU交换信息的存储器称为内存储器,简称内存。用来存放经常使用的程序和数据。。 17、指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 取指周期中从内存读出的信息流是指令流,而在执行器周期中从内存读出的信息流是数据流。 18、什么是适配器?简述其功能。 适配器是外围设备与主机联系的桥梁,它的作用相当于一个转换器,使主机和外围设备并行协调的工作。

计算机组成原理试题

计算机组成原理试题(A) 教学中心名称考点成绩 专业、班级姓名学号 一、填空题(每空1分,共10分) 1.计算机中的信息可分为两类,它们是信息和信息。 2.第二代电子数字计算机所用的基本器件是。 3.设X=-9/16,[X]补= 。 4.运算器中的核心部件是。 5.浮点表示法中,阶码决定浮点数的,尾数决定浮点数的。 6.CPU中PC的主要功能是。 7.按照信息的传送格式,接口可分为和两大类。 二、选择题(每小题2分,共20分) 1. 某主存储器按字节编址,地址线数目为16,这个存储器的容量为 . A 16K×16位B.32K×8位、C.64K ×8位 2.采用DMA方式传送数据时,每传送一个数据就要占用的时间。 A一个指令周期B.一个存储周期C.一个机器周期 3. Cache是。 A.主存的一部分 B.为扩大存储容量而设置的 C.为提高存储系统的速度而设置的 4.操作控制器的功能是。 A产生操作控制信号,以解释并执行指令 B、产生时序信号C.对指令泽码 5.中断响应时,保存PC并更新PC的内容,主要是为了. A.提高处理机的速度 B.能进入中断处理程字并能正确返回原程序 C.便于编制中断处理程序 6.计算机辅助设计是指。 A.CAD B.CAI C.CAT 7.某机字长32位,内存容量为4MW,若按字节编址,其寻址范围为. A.0~4M B。0~16M C.0~32M 8.在磁盘存储器中,与转速无关的技术指标是。 A.存储密度B.平均等待时间C.数据传输率 9.设指令中的形式地址为以相对寻址时,操作数的有效地址E=. A.(D)B.(PC)+D C.(R)+D

10.计算机中,执行部件接控制部件的命令所作的不可再分的操作称为. A.微命令B.微操作C操作 三.判断改错题(每小题2分,共10分。正确,在括号内打√;错误,则打×并更正) 1.磁盘存储器是一种随机存取存储器。() 2.零地址指令就是没有操作数的指令。() 3.时序发生器是控制器的主要部件之一。() 4.设X=10110110,采奇校验时,其校验位C=1。() 5.中断处理过程中,保存现场必须在中断服务之后进行。() 四.简答题(每小题10分,共40分) 1.CPU由哪些主要部件组成?说明各部件的作用。 2.试述高速缓冲存储器的基本设计思想和特点。 3.主机与外部设备间为什么要设置接口? 4.为什么说取指令是公操作?在取指令阶段,CPU主要完成哪些操作? 五.计算题(共10 分) 1.设X=0.0101,Y=-0.1101,用双符号补码计算X+Y=?和X-Y=?并判断其结果是否溢出。(5分) 2. 设X=8C3E(H),Y=B6DF(H),Z=54D2(H)。求X∧Y⊕Z=? (5分) 七.设计题(10分) 某机字长16 位,主存按字编址,容量为8MW,请用如下RAM芯片为该机设计一个主存。 A A0 07 1.地址线和数据线各有多少根? 2.共用多少这种芯片? 3.画出其组成框图,并正确标出各信号线。

《计算机组成原理》期末考试试题及答案

武汉大学计算机学院 2007-2008学年第一学期2006级《计算机组成原理》 期末考试试题A卷答案 __ 学号_______ 班级 ____ _____ 姓名__ _________ 成绩_____ ___ 1.(16分)一浮点数,阶码部分为q位,尾数部分为p位,各包含一位符号位,均用补码表示;该浮点数所能表示的最大正数、最小正数、最大负数和最小负数分别是多少? 解: 2.在一个具有四体低位多体交叉的存储器中,如果处理器的访存地址为以下十进制。求该存储器比单体存储器的平均访问速率提高多少?(忽略初启时的延迟) (1)1、2、3、…… 32 (10分) (2)2、4、6、…… 32 (10分) 解:设存储器的访问周期为T。 (1)四体低位多体交叉的存储器访问的情况如下: 1、2、3 所需时间= T ; 4、5、6、7 所需时间= T ; 8、9、10、11 所需时间= T ; 12、13、14、15 所需时间= T ; 16、17、18、19 所需时间= T ; 20、21、22、23 所需时间= T ; 24、25、26、27 所需时间= T ; 28、29、30、31 所需时间= T ; 32 所需时间= T ; 四体低位多体交叉的存储器访问所需时间=9T; 单体存储器访问所需时间=32T; 所以平均访问速率提高:32/9倍

(2)四体低位多体交叉的存储器访问的情况如下: 2 所需时间= T ; 4、6 所需时间= T ; 8、10 所需时间= T ; 12、14 所需时间= T ; 16、18 所需时间= T ; 20、22 所需时间= T ; 24、26 所需时间= T ; 28、30 所需时间= T ; 32 所需时间= T ; 四体低位多体交叉的存储器访问所需时间= 9T; 单体存储器访问所需时间=16T; 所以平均访问速率提高:16/9倍 3.(20分)假定指令格式如下: 其中: D/I为直接/间接寻址标志,D/I=0表示直接寻址,D/I=1表示间接寻址。 Bit10=1:变址寄存器I寻址; 设有关寄存器的内容为(I)=063215Q 试计算下列指令的有效地址。(Q表示八进制) (1) 152301Q (2) 140011Q 解: (1) 152301Q=1 101 010 011 000 001 因为Bitl0(I)=1,故为变址寄存器寻址,EA=(I)+A=063215+301=063516Q。 (3) 140011Q=1 100 000 000 001 001 因为D/I=0,故为直接寻址,EA=A=011Q。 4. 已知某运算器的基本结构如图所示,它具有+(加)、-(减)、和M(传送)三种操作。 (1) 写出图中1~12表示的运算器操作的微命令;(6分) (2) 设计适合此运算器的微指令格式;(6分) (3) 指令DDA的功能是计算R1、R2和R3三个寄存器的和,若进位C=0,则R1+R2→R2;若进位C=1,则R1+R2+R3→R2,画出指令DDA的微程序流程图,并列出微操作序列(取指令流程不写,取指令微指令安排在0号单元中);(6分) (4)设下址地址为5位,将微程序流程图安排在1~3号单元里;(6分)

计算机组成原理期末试题

1.刷新存储器的重要性能指标是它的带宽。若显示工作方式采用分辨率为1024*768,颜色深度24位,帧频(刷新速度)为72Hz,求: 1)刷新存储器的容量是多少 2)刷新存储器的带宽是多少 1)刷新存储器的容量= 1024*768 * 24bit= 2)帧频(刷新速度)为72Hz指的是:每秒钟读72次, 所以,刷新存储器的带宽=1024*768 * 24bit *72 次/秒=162MB/s 2.试推导磁盘存储器读写一块信息所需要的总时间 读写一块总时间TB=平均找道时间Ts+平均等待时间Tw +读写传输时间Tt 读写一块总时间TB=平均找道时间+平均等待时间+读写传输时间=Ts+Tw+Tt 1)Tw 设磁盘每秒r转,每条磁道N个字,则数据传输率=rN个字/秒 转一周的时间=1/r,所以 Tw =1/2*(1/r)=1/(2r) 2)Tt 又设每块的字数是n,一旦读写头定位在该块,则Tt≈n/(rN)秒 所以TB=Ts+ 1/(2r)+ n/(rN) 秒 3.采用串行接口进行7位ASCII码传送,带有一位奇偶校验位、一位起始位和一位停止位,当波特9600波特时,字符传送率是 9600波特=9600bit/秒 =9600 bit*(1字符/10bit)/秒 =960字符/秒 4.某总线在一个总线周期中并行传送8个字节的信息,设一个总线周期等于一个总线时钟周期,总线时钟频率为70MHz,求总线带宽 Dr=8字节/T秒=8*70*10^6≈420MB/秒 5.某机器CPU中有16个寄存器,运行某中断处理程序时,仅用到其中的2个寄存器,请问响应中断而进入该中断处理程序时是否将通用寄存器内容保存到主存中去需保存几个寄存器 要将通用寄存器内容保存到主存中去。 只要保存中断处理程序用到的那2个寄存器的内容。 1.已知cache的存储周期是40ns,主存存储周期200ns, cache/主存系统平均50ns,求cache的命中率访问n个字,设命中率为H cache/主存系统的平均访问时间 =命中cache的时间+不命中cache的主存访问时间 =H*Tc+(1-H)*Tm =H*40+(1-H)*200 =50

计算机组成原理试卷及答案

计算机组成原理试题及答案 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。) 1.若十进制数据为137.5则其八进制数为(B )。 A、89.8 B、211.4 C、211.5 D、1011111.101 2.若x补=0.1101010,则x原=(A )。 A、1.0010101 B、1.0010110 C、0.0010110 D、0.1101010 3.若采用双符号位,则发生正溢的特征是:双符号位为(B)。 A、00 B、01 C、10 D、11 4.原码乘法是(A )。 A、先取操作数绝对值相乘,符号位单独处理 B、用原码表示操作数,然后直接相乘 C、被乘数用原码表示,乘数取绝对值,然后相乘 D、乘数用原码表示,被乘数取绝对值,然后相乘 5.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 6.下列数中,最小的数是(A)。 A.(101001)2B.(52)8C.(2B)16D.45 7.下列数中,最大的数是(D)。 A.(101001)2B.(52)8C.(2B)16D.45 8.下列数中,最小的数是(D)。 A.(111111)2B.(72)8C.(2F)16D.50 9.已知:X=-0.0011,Y= -0.0101。(X+Y)补= ( A)。 A.1.1100B.1.1010 C.1.0101D.1.1000 10.一个512KB的存储器,地址线和数据线的总和是(C )。 A.17 B.19C.27D.36 11.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C )。 A.64K B.32KB C.32K D.16KB 12.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C )。 A.21 B.17 C.19 D.20 12.计算机内存储器可以采用(A)。 A.RAM和ROM B.只有ROM C.只有RAM D.RAM和SAM 13.单地址指令中为了完成两个数的算术操作,除地址码指明的一个操作数外,另一个数常需采用( C) 。 A.堆栈寻址方式 B.立即寻址方式 C.隐含寻址方式 D.间接寻址方式 14.零地址运算指令在指令格式中不给出操作数地址,因此它的操作数来自(B)。 A.立即数和栈顶 B.栈顶和次栈顶 C.暂存器和栈顶 D.寄存器和内存单元 15.指令系统中采用不同寻址方式的目的主要是( C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度 16.用于对某个寄存器中操作数的寻址方式称为( C)寻址。 A.直接 B.间接 C.寄存器直接 D.寄存器间接 17.寄存器间接寻址方式中,操作数处在( B )。 A.通用寄存器 B.贮存单元 C.程序计数器 D.堆栈 18.RISC是(A)的简称。 A.精简指令系统计算机 B.大规模集成电路

计算机组成原理试卷与答案

一、选择题 1.假定下列字符码中有奇偶校验位,但没有数据错误,采用偶校校 验的字符码是______。 A 11001011 B 11010110 C 11000001 D 11001001 2.8位定点字长的字,采用2的补码表示时,一个字所能表示的整 数范围是______。 A .–128 ~ +127 B. –127 ~ +127 C. –129 ~ +128 D.-128 ~ +128 3.下面浮点运算器的描述中正确的句子是:______。 a)浮点运算器可用阶码部件和尾数部件实现 b)阶码部件可实现加、减、乘、除四种运算 c)阶码部件只进行阶码相加、相减和比较操作 d)尾数部件只进行乘法和减法运算 4.某计算机字长16位,它的存贮容量是64KB,若按字编址,那 么它的寻址范围是______ A. 64K B. 32K C. 64KB D. 32 KB 5.双端口存储器在______情况下会发生读/写冲突。 a)左端口与右端口的地址码不同 b)左端口与右端口的地址码相同 c)左端口与右端口的数据码不同 d)左端口与右端口的数据码相同

6.寄存器间接寻址方式中,操作数处在______。 A. 通用寄存器 B. 主存单元 C. 程序计数器 D. 堆栈 7.微程序控制器中,机器指令与微指令的关系是______。 a)每一条机器指令由一条微指令来执行 b)每一条机器指令由一段微指令编写的微程序来解释执行 c)每一条机器指令组成的程序可由一条微指令来执行 d)一条微指令由若干条机器指令组 8.按其数据流的传递过程和控制节拍来看,阵列乘法器可认为是 ______。 a)全串行运算的乘法器 b)全并行运算的乘法器 c)串—并行运算的乘法器 d)并—串型运算的乘法器 9.由于CPU内部的操作速度较快,而CPU访问一次主存所花的 时间较长,因此机器周期通常用______来规定。 a)主存中读取一个指令字的最短时间 b)主存中读取一个数据字的最长时间 c)主存中写入一个数据字的平均时间 d)主存中读取一个数据字的平均时间 10.程序控制类指令的功能是______。 A 进行算术运算和逻辑运算 B 进行主存与CPU之间的数据传送

计算机组成原理期末考试试卷及答案(1)

计算机组成原理期末考试试卷(1) 一.选择题(下列每题有且仅有一个正确答案,每小题2分,共20分)1.假设下列字符码中最后一位为校验码,如果数据没有错误,则采用偶校验的字符码的是____。 A. 11001011 B. 11010110 C. 11000001 D. 11001001 2.在定点二进制运算器中,减法运算一般通过______ 来实现。 A. 补码运算的二进制加法器 B. 补码运算的二进制减法器 C. 补码运算的十进制加法器 D. 原码运算的二进制减法器 3.下列关于虚拟存储器的说法,正确的是____。 A. 提高了主存储器的存取速度 B. 扩大了主存储器的存储空间,并能进行自动管理和调度 C. 提高了外存储器的存取速度 D. 程序执行时,利用硬件完成地址映射 4.下列说法正确的是____。 A. 存储周期就是存储器读出或写入的时间 B. 双端口存储器采用了两套相互独立的读写电路,实现并行存取 C. 双端口存储器在左右端口地址码不同时会发生读/写冲突 D. 在cache中,任意主存块均可映射到cache中任意一行,该方法称为直接映射方式 5.单地址指令中,为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个操作数一般采用____寻址方式。 A. 堆栈 B. 立即 C. 隐含 D. 间接 6.指令系统中采用不同寻址方式的目的主要是______ 。 A.实现存储程序和程序控制 B.提供扩展操作码的可能并降低指令译码难度 C.可以直接访问外存 D.缩短指令长度,扩大寻址空间,提高编程灵活性7.下列说法中,不符合RISC指令系统特点的是____。 A. 指令长度固定,指令种类少 B. 寻址方式种类尽量少,指令功能尽可能强 C. 增加寄存器的数目,以尽量减少访存的次数 D. 选取使用频率最高的一些简单指令,以及很有用但不复杂的指令

【精品】计算机组成原理期末考试简答题重点

一、简答题 1、试述浮点数规格化的目的和方法。 答:浮点的规格化是为了使浮点数尾数的最高数值位为有效数位。当尾数用补码表示时,若符号位与小数点后的第一位不相等,则被定义为已规格化的数,否则便是非规格化数。通过规格化,可以保证运算数据的精度。 方法:进行向左规格化,尾数左移一位,阶码减1,直到规格化完毕。 2、简述循环冗余码(CRC)的纠错原理。 答:CRC码是一种纠错能力较强的校验码。在进行校验时,先将被检数据码的多项式用 生成多项式G(X)来除,若余数为0,说明数据正确;若余数不为0,则说明被检数据有错。 只要正确选择多项式G(X),余数与CRC码出错位位置的对应关系是一定的,由此可以 用余数作为判断出错位置的依据而纠正出错的数据位。 3、DRAM存储器为什么要刷新?有几种刷新方式? DRAM存储元是通过栅极电容存储电荷来暂存信息。由于存储的信息电荷终究是有泄漏的,电荷数又不能像 SRAM存储元那样由电源经负载管来补充,时间一长,信息就会丢失。为此必须设法由外界按一定规律给栅 极充电,按需要补给栅极电容的信息电荷,此过程叫“刷新”。 ①集中式---正常读/写操作与刷新操作分开进行,刷新集中完成。 ②分散式---将一个存储系统周期分成两个时间片,分时进行正常读/写操作和刷新操作。 ③异步式---前两种方式的结合,每隔一段时间刷新一次,保证在刷新周期内对整个存储器 刷新一遍。 4、CPU中有哪些主要寄存器?简述这些寄存器的功能。 (1)指令寄存器(IR):用来保存当前正在执行的一条指令。 (2)程序计数器(PC):用来确定下一条指令的地址。 (3)地址寄存器(AR):用来保存当前CPU所访问的内存单元的地址。 (4)缓冲寄存器(DR): <1>作为CPU和内存、外部设备之间信息传送的中转站。 <2>补偿CPU和内存、外围设备之间在操作速度上的差别。 <3>在单累加器结构的运算器中,缓冲寄存器还可兼作为操作数寄存器。 (5)通用寄存器(AC):当运算器的算术逻辑单元(ALU)执行全部算术和逻辑运算时,为ALU提供一个工作区。 (6)状态条件寄存器:保存由算术指令和逻辑指令运行或测试的结果建立的各种条件码内容。除此之外,还保存中断和系统工作状态等信息,以便使CPU和系统能及时了解机器运行状态和程序运行状态。 5、中断处理过程包括哪些操作步骤? 关闭中断标识,重要数据入栈, 处理中断服务功能, 数据出栈, 恢复中断标识, 开中断.

相关文档
相关文档 最新文档