文档库 最新最全的文档下载
当前位置:文档库 › 第五章数电课后答案

第五章数电课后答案

第五章数电课后答案
第五章数电课后答案

《时序逻辑电路》练习题

[5.1] 分析图P5.8的计数器电路,说明这是多少进制的计数器。十进制计数器74160的功能表见表5.3.4。

[5.2] 分析图P5.9的计数器电路,画出电路的状态转换图,说明这是多少进制的计数器。十六进制计数器74LS161的功能表如表5.3.4所示。

[5.11]试分析图P5.11的计数器在M=1和M=0时各为几进制。74LS160的功能表同上题。

[5.12]图P5.12电路是可变进制计数器。试分析当控制变量A为1和0时电路各为几进制计数器。74LS161的功能表见题5.10。

[5.13]设计一个可控制进制的计数器,当输入控制变量M=0时工作在五进制,M=1

时工作在十五进制。请标出计数输入端和进位输出端。

[解] 见图A5.13。

[5.15]试分析图P5.15计数器电路的分频比(即Y与CP的频率之比)。74LS161的功能表见题5.10。

[解] 利用与上题同样的分析方法,可得74LS161(1)和74LS161(2)的状态转换图如图A5.15(a)、(b)所示。可见,74LS 161(1)为七进制计数器,且每当电路状态由1001~1111时,给74LS 161(2)一个计数脉冲。74LS 161(2)为九进制计数器,计数状态由0111~1111循环。整个电路为63进制计数器,分频比为1:63。

[5.16] 图P5.16电路是由两片同步十进制计数器74160组成的计数器,试分析这是多少进制的计数器,两片之间是几进制。74160的功能表见题5.10。

[解] 第(1)片74160接成十进制计数器,第(2)片74160接成了三进制计数器。第(1)片到第(2)片之间为十进制,两片中串联组成71~90的二十进制计数器。

[5.17] 分析图P5.17给出的电路,说明这是多少进制的计数器,两片之间多少进制。74LS161的功能表见题5.10。

[解] 在出现0=LD 信号以前,两片74LS161均按十六进制计数。即第(1)片到第(2)片之间为十六进制。当第(1)片计为2,第(2)片计为5时产生0=LD 信号,总的进制为5×16+2+1=83。故为八十三进制计数器。计数范围0000000~1010010(83进)。

[5.24] 设计一个序列信号发生器电路,使之在一系列CP 信号作用下能周期性地输出“0010110111”的序列信号。

[解] 可以用十进制计数器和8选1数据选择器组成这个序列信号发生器电路。若将十进制计数器74160的输出状态0123Q Q Q Q 作为8选1数据选择器的输入,则可得到数据选择器的输出Z 与输入0123Q Q Q Q 之间关系的真值表。

若取用8选1数据选择器74LS251(见图A5.24(a )),则它的输出逻辑式可写为

)()()()( )

()()()(01270126012501240123012201210120A A A D A A A D A A A D A A A D A A A D A A A D A A A D A A A D Y +++++++=

由真值表写出Z 的逻辑式,并化成与上式对应的形式,则得到 )(0)()()(012012301230123Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Z ?+++=

)()(0)()(012301201230123Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q +?+++

令A 2=Q 2,A 1=Q 1,A 0=Q 0,D 0=D 1=Q 3 ,D 2=D 4=Q 5=Q 7=3Q ,D 3=D 6=0,

则数据选择器的输出Y 即所求之Z 。所得到的电路如图A5.24(a)所示。

Q 3 Q 2 Q 1 Q 0 Z 0 0 0 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 1 0 0 1 0 0 1 0 1 0 1 1 0 1 1 0 0 0 1 1 1 1 1 0 0 0 1 1 0 0 1 1

数字电子技术_第四章课后习题答案_(江晓安等编)

第四章组合逻辑电路 1. 解: (a)(b)是相同的电路,均为同或电路。 2. 解:分析结果表明图(a)、(b)是相同的电路,均为同或电路。同或电路的功能:输入相同输出为“1”;输入相异输出为“0”。因此,输出为“0”(低电平)时,输入状态为AB=01或10 3. 由真值表可看出,该电路是一位二进制数的全加电路,A为被加数,B为加数,C为低位向本位的进位,F1为本位向高位的进位,F2为本位的和位。 4. 解:函数关系如下: AB S F+ ⊕ = + + A BS S S A B B 将具体的S值代入,求得F 3 1 2 值,填入表中。

A A F B A B A B A A F B A B A A F A A F AB AB F B B A AB F AB B A B A B A AB F B A A AB F B A B A B A F B A AB AB B A B A F B B A B A B A B A B A B A F AB BA A A B A A B A F F B A B A F B A B A F A A F S S S S =⊕==+==+⊕===+⊕===⊕===⊕===+⊕===+=+⊕===⊕==+==⊕==Θ=+=+⊕===+++=+⊕===+=⊕===⊕==+=+⊕==+=+⊕===⊕==01111 1110 1101 01100 01011 1010 1001 1000 00111 0110 )(0101 0100 1010011 10010 10001 10000 0123

5. (1)用异或门实现,电路图如图(a)所示。 (2) 用与或门实现,电路图如图(b)所示。 6. 解因为一天24小时,所以需要5个变量。P变量表示上午或下午,P=0为上午,P=1为下午;ABCD表示时间数值。真值表如表所示。 利用卡诺图化简如图(a)所示。 化简后的函数表达式为

电化学思考课后答案第五章

思考题 1. 在电极界面附近的液层中,是否总是存在着三种传质方式为什么每一种传质方式的传质速度如何表示 答:电极界面附近的液层通常是指扩散层,可以同时存在着三种传质方式(电迁移、对流和扩散),但当溶液中含有大量局外电解质时,反应离子的迁移数很小,电迁移传质作用可以忽略不计,而且根据流体力学,电极界面附近液层的对流速度非常小,因此电极界面附近液层主要传质方式是扩散。三种传质方式的传质速度可用各自的电流密度j 来表示。 3. 旋转圆盘电极和旋转圆环-圆盘电极有什么优点它们在电化学测量中有什么重要用途 答:旋转圆盘电极和旋转圆环-圆盘电极上各点的扩散层厚度是均匀的,因此电极表面各处的电流密度分布均匀。这克服了平面电极表面受对流作用影响不均匀的缺点。它们可以测量并分析极化曲线,研究反应中间产物的组成及其电极过程动力学规律。 6. 稳态扩散和非稳态扩散有什么区别是不是出现稳态扩散之前都一定存在非稳态扩散阶段为什么 答:稳态扩散与非稳态扩散的区别,主要看反应离子的浓度分布是否为时间的函数,即稳态扩散时()i c f x =,非稳态扩散时(,)i c f x t =。稳态扩散出现之前都一定存在非稳态扩散阶段,因为反应初期扩散的速度比较慢,扩散层中各点的反应粒子是时间和距离的函数;而随着时间的推移,扩散的速度不断提高,扩散补充的反应粒子数与反应消

耗的反应离子数相等,反应粒子在扩散层中各点的浓度分布不再随时间变化而变化,达到一种动态平衡状态。 习题 6. 已知25℃时,在静止溶液中阴极反应Cu2+ + 2e →Cu受扩散步骤控制。Cu2+离子在该溶液中的扩散系数为1×10-5cm2/s,扩散层有效厚度为×10-2cm,Cu2+离子的浓度为L。试求阴极电流密度为cm2时的浓差极化值。 7. 在含有大量局外电解质的LNiSO4溶液中,用旋转圆盘电极作阴极进行电解。已知Ni2+离子的扩散系数为1×10-5cm2/s,溶液的动力黏度系数为×10-2cm2/s,试求: (1)转速为10r/s时的阴极极限扩散电流密度是多少 (2)上述极限电流密度比静止电解时增大了多少倍设静止溶液中的扩散层厚度为5×10-3cm。

第十章作业答案2

第十章作业答案2 10-27 电量q 均匀分布在长为2l 的细杆上,求在杆外延长线上与杆端距离为a 的点P 的电势(以无穷远为零电势点)。 [解] 取如图所示的电荷元d q ,x l q q d 2d =,它在P 点产生的电势为 ()()x a l x l q x a l q u -+=-+=2d 82d 41d 00πεπε 则整个带电直线在P 点产生的电势为 ()a a l l q x a l x l q x a l x l q U l +=-+=-+=??2ln 82d 82d 802000πεπεπε 10-30 一半径为R 的均匀带电圆盘,面电荷密度为σ。设无穷远处为零电势参考点,求圆盘中心点O 处的电势。 [解] 把带电圆盘视为无数个不同半径的圆环。圆盘中心点O 处的电势等于这些带电圆环在该点产生的电势的叠加。取半径为r ,宽度为d r 的圆环,其上所带电量为r r q d 2d πσ=。它在O 点产生的电势为 r r q u d 241d 41 d 00πσπεπε== 则整个带电薄圆盘在P 点产生的电势为 R r u U R 0002d 241d εσπσπε===?? 10-32 图示为两个半径均为R 的非导体球壳,表面上均匀带电,带电量分别为+Q 和-Q ,两球心相距离为d (d >>2R )。求两求心间的电势差。 [解] 设带正电的球壳中心的电势为1U ,带负电的为2U 。 根据电势叠加原理有 d Q R Q U 00144πεπε-= d Q R Q U 00244πεπε+-= 两球心间的电势差 ??? ??-=-=-=d R Q d Q R Q U U U 112220002112πεπεπε 10-36 电荷面密度分别为+σ和-σ的两块无限大均匀带电平面,处于与平面垂直 的x 轴上的-a 和+a 的位置上。设坐标原点O 处的电势为零,试求空间的电势分 布并画出其曲线。 [解] 无限大带电平板外场强的大小为02εσ= E ()()()?????????-=?+?=>-==?=≤≤-=?+?=-<=??????--001300100012d d 0d d d d 0εσεσεσεσa U a x x r E U a x a a U a x E a a x x a a x l E l E l E l E l E 因此因此因此 P dq O

(完整版)数字电路与逻辑设计课后习题答案蔡良伟(第三版)

数字电路答案 第一章习题 1-1 (1)10 108222*86*826=+= {{82 010110 262610110== {{2161 6 101100001011016== (2) 210 1081081*85*84*8154=++= {{{82001100 101154154 1101100== {{2166 1101100011011006C C == (3)101 10813.1251*85*81*815.1-=++= {{{82001001 10115.115.1 1101.001== {{2162 1101.0011101.0010.2D D == (4)2101 108131.6252*80*83*85*8203.5-=+++= {{{{82010000011101 203.5203.510000011.101== {{{2168 3 10000011.10110000011.101083.A A == 1-2 (1){{285 5 10110110110155== {{2162 101101001011012D D == 10 810555*85*845=+= (2){{{283 4 5 11100101011100101345== {{2165 11100101111001015E E == 2108103453*84*85*8229=++=

(3){{{285 1 4 101.0011101.001100 5.14== {{2165 3 101.00110101.0011 5.3== 012 8105.145*81*84*8 5.1875--=++= (4){{{287 4 4 100111.101100111.10147.4== {{{2162 7 100111.10100100111.101027.A A == 101 018625.398*58*78*45.47=++=- 1-3 (1)10 810161*86*814=+= {{82001110 16161110== {21611101110E E == (2)210 8101721*87*82*8122=++= {{{82001010 111172172 1111010== {{167 2 7101001111111010 A A == (3)1012 81061.536*81*85*83*849.672--=+++= {{{{82001110101011 61.5361.53110001.101011== {{{{2163 1 110001.10101100110001.1010110031.A C AC == (4)21012 810126.741*82*86*87*84*886.9375--=++++= {{{{{82001010100 110111126.74126.74 1010110.1111== {{{2165 6 1010110.111101010110.111156.F F == 1-4 (1){{ 16200101010 22101010A A == {{285 2 10101010101052== 10 810525*82*842=+=

数字电路第四章习题答案

第四章 习题 4.4 由两个与非门构成的基本RS 触发器的输入如图P4.4所示,画出Q 和Q 端的波形。 图 P4.4 4.5 由两个或非门构成的基本RS 触发器的输入波形如图P4.5所示,画出输出Q 和Q 的波形。 图 P4.5 4.6 图P4.6是一个防抖动输出的开关电路。当拨动开关S 时,由于开关触点接通瞬间发生振颤。 D S 和D R 的电压波形如图中所示,试画出Q 、Q 端对应的电压波形。

图P4.6 4.7 在同步RS触发器中,若CP、S、R的电压波形如图P4.7所示。画出Q和Q端的波形。设触发器的初始状态为Q=0。 图 P4.7 4.10 主从型JK触发器输入波形如图P4.10所示,画出输出端Q和Q的波形。设触发器初始状态Q=0。

4.11 主从型JK 触发器组成图P4.11(a )所示电路,输入波形如图P4.11(b )所示,画出各触 发器Q 端的波形。 (a ) 解:AB J 1 ,先画出J 的波形,然后画Q.。 4.12 主从型RS 触发器的CP 、S 、R 、D R 各输入的电压波形如图P4.12所示,画出端Q 和Q 端

对应的电压波形。 图 P4.12 4.14 维持阻塞D触发器构成图P4.14所示的电路,输入波形如图P4.14(b)所示。画出各触发器Q段的波形。触发器的初态均为0。 (b) 图 P4.14

4.16 上升沿触发的维持阻塞型D触发器74LS74组成图(a)所示电路,输入波形如图(b)所示,画出Q1和Q2的波形,设Q初态为0。 4.20 画出图P4.20电路在图中所示CP、 R信号作用下Q1、Q2、Q3的输出电压波形,并说明 D Q1、Q2、Q3输出信号的频率与CP信号频率之间的关系。 CP 1/2 1/4 Q Q Q 频率的 、1/8 。 、 和 的频率分别是 、 1 3 2

数字电子技术第10章节自测练习跟习题解答

自测练习 1.获得矩形脉冲的方法通常有两种:一种是();另一种是()。 2.触发器有()个稳定状态,分别是()和()。 3.单稳态触发器有()个稳定状态。 4.多谐振荡器有()个稳定状态。 1.用脉冲产生电路直接产生;对已有的信号进行整形产生。 2.2,0,1 3.1 4.0 自测练习 1.多谐振荡器()(需要,不需要)外加触发脉冲的作用。 2.利用门电路的传输延迟时间,将()(奇数,偶数,任意)个非门首尾相接就构成一个简单的多谐振荡器。 3.多谐振荡器的两个暂稳态之间的转换是通过()来实现的。 f)决定。4.石英晶体振荡器的振荡频率由()(R,C,晶体本身的谐振频率 s 5.石英晶体振荡器的两个优点是()和()。 1.不需要 2.奇数 3.R、C的充放电。 f。 4.晶体本身的谐振频率 s 5.频率精确,稳定性好。 自测练习 1.单稳态触发器有()个稳定状态和()个暂稳态。 2.单稳态触发器(需要,不需要)外加触发脉冲的作用。 3.单稳态触发器的暂稳态持续时间取决于(),而与外触发信号的宽度无关。4.为了使单稳态触发器电路正常工作,对外加触发脉冲的宽度要求是()。 5.74LS121是()(可重复触发,不可重复触发)单稳态触发器,74LS123是()(可重复触发,不可重复触发)单稳态触发器。 6.使用74LS121构成单稳态触发器电路时,外接电容C ext接在()脚和()脚之间,外接电阻R ext接在()脚和()脚之间。它的输出脉宽为()。 7.使用74LS121构成单稳态触发器电路时,若要求外加触发脉冲为上升沿触发,则该触发脉冲应输入到()(3、4、5)脚。

8.使用74LS121构成单稳态触发器电路时,若要求外加触发脉冲为下降沿触发,则该触发脉冲应输入到()(3、4、5)脚。 1.1,1 2.需要 3.外接RC 4.触发脉冲的宽度小于暂稳态持续时间 5.不可重复触发,可重复触发 6.10.11.11.14.0.7 RC 7.5 8.3或4 自测练习 1.施密特触发器的特点是,输入信号幅值增大时的触发阈值电压和输入信号幅值减少时的触发阈值电压()(相同,不相同)。 2.典型施密特触发器的回差电压是()伏。 3.利用施密特触发器可以把正弦波、三角波等波形变换成()波形。 4.在图10-19所示电路中,如果需要产生2kHz的方波信号,其电容值为()。 5.在图10-19所示电路中,充电时间()(大于,小于,等于)放电时间。 6.在图10-19所示电路中,RC回路的电阻值要小于(),原因是()。如果使用10 kΩ电阻,则发生的现象是()。 7.使用集成电路手册查找74HC14芯片,当电源供电电压为6V时,该施密特触发器的上、下限触发阈值电压分别为()和()。 1.不相同 2.1V 3.矩形波 4.R=800Ω时,C为0.4375μF 5.小于 6.1KΩ;电阻值过大,电容电压将不会低于V T-;无波形。 7. 3.14V,1.89V 自测练习 1.555定时器的4脚为复位端,在正常工作时应接()(高,低)电平。 2.555定时器的5脚悬空时,电路内部比较器C1、C2的基准电压分别是()和()。3.当555定时器的3脚输出高电平时,电路内部放电三极管T处于()(导通,截止)状态。3脚输出低电平时,三极管T处于()(导通,截止)状态。 4.TTL555定时器的电源电压为()伏。 5.555定时器构成单稳态触发器时,稳定状态为()(1,0),暂稳状态为()(1,0)。6.555定时器可以配置成三种不同的应用电路,它们是()。7.555定时器构成单稳态触发器时,要求外加触发脉冲是负脉冲,该负脉冲的幅度应满足 ()( 1 3 I C u V >, 1 3 I CC u V <),且其宽度要满足()条件。 8.在图10-24所示单稳态触发电路中,R=10kΩ,C=50μF,则其输出脉冲宽度为()。9.555定时器构成多谐振荡器时,电容电压u C将在()和()之间变化。

数字电路与系统设计课后习题答案

(此文档为word格式,下载后您可任意编辑修改!) 1.1将下列各式写成按权展开式: (352.6)10=3×102+5×101+2×100+6×10-1 (101.101)2=1×22+1×20+1×2-1+1×2-3 (54.6)8=5×81+54×80+6×8-1 (13A.4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1.2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 解:分别代表28=256和210=1024个数。 (1750)8=(1000)10 (3E8)16=(1000)10 1.5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 1.6将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 解:结果都为(FF)16 1.8转换下列各数,要求转换后保持原精度: (0110.1010)余3循环BCD码=(1.1110)2 1.9用下列代码表示(123)10,(1011.01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011.01)2=(11.25)10=(0001 0001.0010 0101)8421BCD (2)余3 BCD码 (123)10=(0100 0101 0110)余3BCD (1011.01)2=(11.25)10=(0100 0100.0101 1000)余3BCD (1)按二进制运算规律求A+B,A-B,C×D,C÷D, (2)将A、B、C、D转换成十进制数后,求A+B,A-B,C×D,C÷D,并将结果与(1)进行比较。 A-B=(101011)2=(43)10 C÷D=(1110)2=(14)10 (2)A+B=(90)10+(47)10=(137)10 A-B=(90)10-(47)10=(43)10 C×D=(84)10×(6)10=(504)10 C÷D=(84)10÷(6)10=(14)10 两种算法结果相同。

阎石数电第四版课后习题答案详解第五章答案

第五章 5.1解: 3 32113 21211 21 3131133213 12123131,,,Q Y Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q K Q Q J Q K Q J Q K Q J n n n =???????=+=+=??? ??======+++输出方程: 状态方程:驱动方程: 能自动启动的同步五进制加法计数器。 5.2解: 1 22 1122 1 12 122 1Q AQ Y Q Q A Q Q A Q Q Q A D Q D n n =???? ?==???? ?==++输出方程: 状态方程:驱动方程: 由状态转换图知:为一串行数据监测器, 连续输入四个或四个以上的1时,输出为1,否则为0 5.3解: 2 33232113 2 31211 21 32112 32133121 213 211Q Q Y Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q K Q Q J Q Q K Q J K Q Q J n n n =???????+=+=?=???? ???====?=+++输出方程: 状态方程:,=,,驱动方程: 5.4解: 2 1212 1121 111122111 Q Q A Q AQ Y Q Q A Q Q Q Q A Q A K J K J n n +=???? ?⊕==?? ?=⊕===++输出方程: ⊙状态方程:⊙=驱动方程:

5.5解: 1233 0301213 10120312101320110 100 30 1230120 320 13201 00, 1Q Q Q Q Y Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q K Q Q Q J Q Q K Q Q J Q K Q Q Q J K J n n n n ???=????? ?? ? ?+???=?+?=+?==?????? ?=??=?==??===++++输出方程: 状态方程:,=,驱动方程: 5.6解: 5.7解: ∑

南邮数电-第10章习题答案

10.1 PLD器件有哪几种分类方法?按不同的方法划分PLD器件分别有哪几种类型? PLD器件通常有两种分类方法:按集成度分类和按编程方法分类。按集成度分类,PLD 器件可分为低密度可编程逻辑器件(LDPLD)和高密度可编程逻辑器件(HDPLD)两种。具体分类如下: PLD LDPLD HDPLD PROM PLA PAL GAL CPLD FPGA 按编程方法分类,PLD器件可分为一次性编程的可编程逻辑器件、紫外线可擦除的可编程逻辑器件、电可擦除的可编程逻辑器件和采用SRAM结构的可编程逻辑器件四种。 10.2 PLA、PAL、GAL和FPGA等主要PLD器件的基本结构是什么? PLA的与阵列、或阵列都可编程;PAL的与阵列可编程、或阵列固定、输出结构固定;GAL的与阵列可编程、或阵列固定、输出结构可由用户编程定义;FPGA由CLB、IR、IOB 和SRAM构成。逻辑功能块(CLB)排列成阵列结构,通过可编程的内部互连资源(IR)连接这些逻辑功能块,从而实现一定的逻辑功能,分布在芯片四周的可编程I/O模块(IOB)提供内部逻辑电路与芯片外部引出脚之间的编程接口,呈阵列分布的静态存储器(SRAM)存放所有编程数据。 10.3 PAL器件的输出与反馈结构有哪几种?各有什么特点? PAL器件的输出与反馈结构有以下几种: (1)专用输出结构:输出端为一个或门或者或非门或者互补输出结构。 (2)可编程输入/输出结构:输出端具有输出三态缓冲器和输出反馈的特点。 (3)寄存器输出结构:输出端具有输出三态缓冲器和D触发器,且D触发器的Q端 又反馈至与阵列。 (4)异或输出结构:与寄存器输出结构类似,只是在或阵列的输出端又增加了异或门。 10.4 试分析图P10.4给出的用PAL16R4构成的时序逻辑电路的逻辑功能。要求写出电路的激励方程、状态方程、输出方程,并画出电路的状态转移图。工作时,11脚接低电平。图中画“×”的与门表示编程时没有利用,由于未编程时这些与门的所有输入端均有熔丝与列线相连,所以它们的输出恒为0。为简化作图,所有输入端交叉点上的“×”不再画,而改用与门符号里面的“×”代替。(提示:R为同步清0控制端,C为进位信号输出端)该时序逻辑电路由4个D触发器和若干门电路构成,设17、16、15、14引脚对应的D

数电课后习题答案

思考题与习题思考题与习题 第一章 【1-1】(1)(1101)2= (13)10(2)(10111)2=(23)10 (3)(110011)2=(51)10 (4)(11.011)2=(3.375)10 【1-2】(1)(35)10=(100011)2 (2)(168)10 =(10101000)2 (3)(19.85)10=(10011.11011)2 (4)(199)10=(11000111)2 【1-3】(1)(1011011682)()55()AD ==(2)(1110011011682)1()715()CD == (3) (11000111011682 )36()1435()D == (4)(1010101111682)157()527()== 【1-4】答:数字逻辑变量能取“1”,“0”值。它们不代表数量关系,而是代表两种状态,高低电平. 【1-5】答:数字逻辑系统中有“与”,“或”,“非”三种基本运算,“与”指只有决定事件发生的所有的条件都成立,结果才会发生,只要其中有一个条件不成立,结果都不会发生. “与“指只要所有的条件中有一个条件成立,结果就会发生,除非所有的条件都不成立,结果才不会发生. ”非“指条件成立,结果不成立。条件不成立,结果反而成立。 【1-6】答:逻辑函数:指用与,或,非,等运算符号表示函数中各个变量之间逻辑关系的代数式子。 将由真值表写出逻辑函数表达式的方法: 1.在真值表中挑选出所有使函数值为1的变量的取值组合。 2.将每一个选出的变量取值组合对应写成一个由各变量相与的乘积项,在此过程中,如果某变量取值为1,该变量以原变量的形式出现在乘积项中,如果某变量取值为0,则该变量以反变量的形式出现在乘积项中。 3.将所有写出的乘积项相或,即可得到该函数的表达式。 【1-7】答:在n 输入量的逻辑函数中,若m 为包含n 个因式的乘积项,而且这n 个输入变量均以原变量或反变量的形式在m 中出现且仅出现一次,这m 称为该n 变量的一个最小项。 只由最小项组成的表达式称为最小项表达式。 【1-8】将n 个变量的全部最小项各用一个小方块表示,并使具有逻辑相邻性的最小项在几何位置上也相邻地排列起来,所得到的图形称为n 变量的卡诺图。

发电厂电气部分最全第四章习题解答

第四章电气主接线最全答案 4-1 对电气主接线的基本要求是什么? 答:对电气主接线的基本要求是:可靠性、灵活性和经济性。 其中保证供电可靠是电气主接线最基本的要求。灵活性包括:操作、调度、扩建的方便性。经济性包括:节省一次投资,占地面积小,电能损耗少。 4-2 隔离开关与断路器的区别何在?对它们的操作程序应遵循哪些重要原则? 答:断路器具有专用灭弧装置,可以开断或闭合负荷电流和开断短路电流,故用来作为接通和切断电路的控制电器。而隔离开关没有灭弧装置,其开合电流极小,只能用来做设备停用后退出工作时断开电路。 4-3 防止隔离开关误操作通常采用哪些措施? 答:为了防止隔离开关误操作,除严格按照规章实行操作票制度外,还应在隔离开关和相应的断路器之间加装电磁闭锁和机械闭锁装置或电脑钥匙。 4-4 主母线和旁路母线各起什么作用?设置专用旁路断路器和以母联断路器或者分段断路器兼作旁路断路器,各有什么特点?检修出线断路器时,如何操作? 答:主母线主要用来汇集电能和分配电能。旁路母线主要用与配电装置检修短路器时不致中断回路而设计的。设置旁路短路器极大的提高了可靠性。而分段短路器兼旁路短路器的连接和母联短路器兼旁路断路器的接线,可以减少设备,节省投资。当出线和短路器需要检修时,先合上旁路短路器,检查旁路母线是否完好,如果旁路母线有故障,旁路断路器在合上后会自动断开,就不能使用旁路母线。如果旁路母线完好,旁路断路器在合上就不会断开,先合上出线的旁路隔离开关,然后断开出线的断路器,再断开两侧的隔离开关,有旁路短路器代替断路器工作,便可对短路器进行检修。 4-5 发电机-变压器单元接线中,在发电机和双绕作变压器之间通常不装设断路器,有何利弊? 答:发电机和双绕组变压器之间通常不装设断路器,避免了由于额定电流或短路电流过大,使得在选择出口断路器时,受到制造条件或价格等原因造成的困难。但是,变压器或者厂用变压器发生故障时,除了跳主变压器高压侧出口断路器外,还需跳发电机磁场开关,若磁场开关拒跳,则会出现严重的后果,而当发电机定子绕组本身发生故障时,若变压吕高压侧失灵跳闸,则造成发电机和主变压器严重损坏。并且发电机一旦故障跳闸,机组将面临厂用电中断的威胁。

数电课后答案

《时序逻辑电路》练习题 [5.1] 分析图P5.8的计数器电路,说明这是多少进制的计数器。十进制计数器74160的功能表见表5.3.4。 [5.2] 分析图P5.9的计数器电路,画出电路的状态转换图,说明这是多少进制的计数器。十六进制计数器74LS161的功能表如表5.3.4所示。 [5.11]试分析图P5.11的计数器在M=1和M=0时各为几进制。74LS160的功能表同上题。 [5.12]图P5.12电路是可变进制计数器。试分析当控制变量A为1和0时电路各为几进制计数器。74LS161的功能表见题5.10。 [5.13]设计一个可控制进制的计数器,当输入控制变量M=0时工作在五进制,M=1

时工作在十五进制。请标出计数输入端和进位输出端。 [解] 见图A5.13。 [5.15]试分析图P5.15计数器电路的分频比(即Y与CP的频率之比)。74LS161的功能表见题5.10。 [解] 利用与上题同样的分析方法,可得74LS161(1)和74LS161(2)的状态转换图如图A5.15(a)、(b)所示。可见,74LS 161(1)为七进制计数器,且每当电路状态由1001~1111时,给74LS 161(2)一个计数脉冲。74LS 161(2)为九进制计数器,计数状态由0111~1111循环。整个电路为63进制计数器,分频比为1:63。 [5.16] 图P5.16电路是由两片同步十进制计数器74160组成的计数器,试分析这是多少进制的计数器,两片之间是几进制。74160的功能表见题5.10。 [解] 第(1)片74160接成十进制计数器,第(2)片74160接成了三进制计数器。第(1)片到第(2)片之间为十进制,两片中串联组成71~90的二十进制计数器。

电子电路第十章习题及参考答案

习题十 10-1 在数字系统中,为什么要采用二进制如何用二—十进制表示十进制数 答:在数字系统中采用二进制数有许多优点,其主要优点有:①对元件参数的要求较低;②不仅具备算术运算功能,而且具备逻辑运算功能;③抗干扰能力强、精度高;④便于长期保存信息;⑤安全、可靠;⑥通用性强。 通过二进制的编码来表示十进制数,这种编码称为BCD 码,BCD 的编码方式有很多种,最容易理解、最直观的编码是“8421”码,这是一种有权码,常用的BCD 有权码还有“2421码等,除此之外,在BCD 码中还有无权码。如格雷码、余3码等。 10-2 什么叫编码用二进制编码与二进制数有何区别 答:由于数字系统中用0、1两个数表示所有的信息,对于数字信息可以直接用二进制数表示,但是对于一些图形、符号、文字等信息,要用0、1来表示,就必须按照0、1的一定规则组合来代表。这种按照一定规则组合的代码,并赋予一定含义就称为编码。 二进制编码赋予了不同的含义(或代表图形、符号、文字、颜色等),而二进制数就是一个具体的数值,它代表了数值的大小和正负。 10-3 将下列二进制数转换成十进制数: ① ② .1001 ③ 111111 ④ 解:①()B =(27+26+22 +1)D =(128+64+4+1)D =(197)D ②(.1001)B =(27+25+22+21+2-1+2-4 )D =D ③(111111)B =(26 -1)D =(63)D ④()B =(211+210+27+26+23+22 )D =(3276)D 10-4 将下列十进制数转换成二进制数、八进制数、十六进制数: ① 57 ② ③ ④ 解:①(57)D =(111001)B =(71)O =(39)H ②D ≈B =O =H ③D =B =O =H ④D ≈(0.)B =O =(E7)H 10-5 把下列十六进制数转化成二进制数、八进制数、十进制数: ① H ② H ③ (3AB6)H ④ H 解:①H =B =O =D ②H =(.)B =O ≈D ③(3AB6)H =(0)B =(35266)O =(15030)D ④H =B =O ≈D 10-6 什么是模2加它与逻辑代数加法有何区别 答:模2加就是一位二进制加法的运算规则(不考虑进位)、而逻辑代数的加是逻辑关系的一种表述。。它们的规则分别如下: 模2加:011110101000=⊕=⊕=⊕=⊕ 逻辑加:1111101010 00=+=+=+=+ 10-7 将下列十进制数用8421BCD 码表示。 ① D ② D 解:①D =(0011 0111. 1000 0110)8421BCD ②D =(0110 0000 0101. 0000 0001)8421BCD 10-8 根据格雷码与二进制数的关系式,列出四位二进制数所对应的格雷码。

阎石数字电路课后答案第一章习题答案

第一章 二进制到十六进制、十进制 (1)()2=(97)16=(151)10 (2)(1101101)2=(6D)16=(109)10 (3)2=16=(0.)10 (4)2=16=10 十进制到二进制、十六进制 (1)(17)10=(10001)2=(11)16 (2)(127)10=(1111111)2=(7F)16 16 21016210)3.19()1010 1(11001.101(25.7)(4))A D7030.6()0101 0000 0111 1101 0110 (0.0110(0.39)(3) B 用公式化简逻辑函数 (1)Y=A+B (3)Y=1 ) =+(解:1A A 1)2( C B A C C B A C B Y C B A C B A Y AD C C B AD C B C B AD D C A AB D CD B A Y )()(Y )4(解: (5)Y=0 (7)Y=A+CD E ABCD E C ABCD CE AD B BC CE AD B BC Y CE AD B BC B A D C AC Y )()()() ()()6(解: C B A C B C B A A C B A C B A C B A C B C B A A C B A C B A C B A Y C B A C B A C B A Y )() )(())()(() )()((8解:)( D A D A C B Y )9( E BD E D B F E A AD AC Y )10( (a) C B C B A Y (b) C B A ABC Y (c) ACD D C A D C A B A Y D AC B A Y 21, (d) C B A ABC C B A C B A Y BC AC AB Y 21, 1.10 求下列函数的反函数并化简为最简与或式 (1)C B C A Y (2)D C A Y C B C B AC C B AC B A BC AC C A B A BC AC C A B A Y BC AC C A B A Y ))((]))([())(())(()3(解: (4)C B A Y D C AB D C B D C A D C B D A C A C D C B C A D A Y C D C B C A D A Y )() )(())()(()5(解: (6)0 Y 1.11 将函数化简为最小项之和的形式 C B A C B A ABC BC A C B A C B A C B A ABC BC A C B A A C B B A BC A C B AC BC A Y C B AC BC A Y )()()1(解: D C B A CD B A D C B A ABCD BCD A D C B A Y )(2

模电第四章答案

第4章 集成运算放大电路 自测题 一、选择合适答案填入空内。 (1)集成运放电路采用直接耦合方式是因为( C )。 A.可获得很大的放大倍数 B.可使温漂小 C.集成工艺难于制造大容量电容 (2)通用型集成运放适用于放大( B )。 A.高频信号 B.低频信号 C.任何频率信号 (3)集成运放制造工艺使得同类半导体管的( C )。 A.指标参数准确 B.参数不受温度影响 C.参数一直性好 (4)集成运放的输入级采用差分放大电路是因为可以( A )。 A.减小温漂 B.增大放大倍数 C.提高输入电阻 (5)为增大电压放大倍数,集成运放的中间级多采用( A )。 A.共射放大电路 B.共集放大电路 C.共基放大电路 二、判断下列说法是否正确,用“√”和“×”表示判断结果。 (1)运放的输入失调电压U IO 是两输入端电位之差。( × ) (2)运放的输入失调电流I IO 是两输入端电流之差。( √ ) (3)运放的共模抑制比c d CMR A A K = 。( √ ) (4)有源负载可以增大放大电路的输出电流。( √ ) (5)在输入信号作用时,偏置电路改变了各放大管的动态电流。( × ) 三、电路如图 所示,已知β1=β2=β3= 100 。各管的U BE 均为 , 试求I C 2的值。 解:分析估算如下: 21 100CC BE BE R V U U I A R μ--= = 00202211B B B B I I I I ββ ββ ++= =++; 020 2( )1R B B B I I I I β βββ+=+=++ 图 22021C B B I I I β ββ β +==?+。比较上两式,得 2(2) 1002(1) C R R I I I A ββμβββ+= ?≈=+++ 四、电路如图所示。

数电课后习题第五章答案

本章习题 5.1分析图题4.1a 电路的逻辑功能,列出逻辑功能表,画出R、S 输入图b 信号时的输出波形。 题5.1 逻辑功能表 解: 见题5.1 逻辑功能表和波形图。 5.2画出图题5.2各触发器在时钟脉冲作用下的输出波形。(初态为“0”) 解:波形见题5.2图。 5.3 画出图题4.3中各不同触发方式的D 触发器在输入信号作用下的输出波形 (初态为0)。 Q n S R Q n+1 Q — n+1 功能0 1 0 1 0 置位1 1 0 1 0 置位00 1 0 1 复位10 1 0 1 复位00 0 0 1 保持10 0 1 0 保持0 1 1 1 1 非法1 1 1 1 1 非法

解:波形见题5.3图。 5.4 图题5.4a由CMOS或非门和传输门组成的触发器,分析电路工作原理,说明触发器类型。如果用两个图a的电路构成图b电路,说明图b电路是什么性质的触发器。 解:图a为同步D触发器,CP为使能控制,低电平有效。当CP=“0”时,TG1通、TG2断,触发器根据D信号改变状态;当CP=“1”时,TG1断、TG2通,触发器状态保持。逻辑符号如图5.2a。图b为主从D触发器, 时钟CP的上升沿有效,逻辑符号 如图5.2b。 5.5 画出图题5.5(a)所示电 路在输入图(b)信号时的输出波 形。 解:当A=“1”时,CP的下降沿 使Q=“1”。当Q=“1”且 CP =“1”时,Q复位。波形见题5.5图。

5.6画出图题5.6(a)电路的三个输出Q2、Q1、Q0在图(b)信号输入时的波形变化图(初始状态均为“0”)。分析三个输出信号和输入信号的关系有何特点。 解:波形见题5.6图。输出信号按位序递增顺序比输入滞后一个CP周期。 5.7 画出图题5.7所示电路的三个输出Q2、Q1、Q0在时钟脉冲作用下波形变化图(初始状态均为“0”)。若三个输出组成三位二进制码,Q2为最高位,分析输出码和时钟脉冲输入个数之间的关系。 解:波形见题5.7图,输出码随时钟输入递减:“000”→“111”→“110” →“101” →“100” →“011” →“010” →“011” →“001” →“000”,每8个时钟周期循环一次。 5.8画出图题5.8电路在A、B信号作用下Q1、Q0、Y的输出波形。Q1、Q0的初始状态为“0”。 解:JK触发器连成T′触发器,A的下降沿使Q0变反;B的上升沿使Q1=Q0;而Q1=“1”时使Q0复位。波形见题5.8图。 5.9画出图题5.9(a)所示电路的输出Q1、Q2在图(b)输入信号作用下的波形。

数电课后习题及答案

题1.1 完成下面的数值转换: (1)将二进制数转换成等效的十进制数、八进制数、十六进制数。 ①(0011101)2②(11011.110)2③(110110111)2 解:①(0011101)2 =1×24+ 1×23+ 1×22+ 1×20=(29)10 (0011101)2 =(0 011 101)2= (35)8 (0011101)2 =(0001 1101)2= (1D)16 ②(27.75)10,(33.6)8,(1B.C)16; ③(439)10,(667)8,(1B7)16; (2)将十进制数转换成等效的二进制数(小数点后取4位)、八进制数及十六进制数。①(89) ②(1800)10③(23.45)10 10 解得到:①(1011001)2,(131)8,(59)16; ②(11100001000) 2,(3410) 8,(708) 16 ③(10111.0111) 2,(27.31) 8,(17.7) 16; (3)求出下列各式的值。①(54.2)16=()10 ②(127)8=()16 ③(3AB6)16=()4解①(84.125)10;②(57)16;③(3222312)4; 题1.2 写出5位自然二进制码和格雷码。 题1.3 用余3码表示下列各数 ①(8)10 ②(7)10 ③(3)10

解(1)1011;(2)1010;(3)0110 题1.4 直接写出下面函数的对偶函数和反函数。 ()()Y AB C D E C '=++ ()()Y AB A C C D E ''=+++ (())Y A B C D E '''=++++ ()Y A B C A B C '''=++ 解 (1)(())(())(2)()(())()(())(3)(())(())(4)D D D D Y A B C D E C Y A B C D E C Y A B A C C D E Y A B AC C D E Y A BC DE Y A B C D E Y ABC A B C Y A B C A B C '''''''=+++=+++''''''''=+++=+++''''''''''=='''''''=+++=+++,,,, 题1.5 证明下面的恒等式相等 ()()()()()()()()AB C B ABC A BC ABC AB B A B A B BC AD A B B D A C C D A C B D B D AB BC ''+=++''++=++=++++'''+++=+ 1、(AB+C)B=AB+BC=AB ( C+C')+ ( A+A')BC =ABC +ABC'+ABC + A'BC= ABC+ABC'+ A'BC 2、AB'+B+A'B=A+B+A'B=A+B+B=A+B 3、左=BC+AD , 对偶式为(B+C)(A+D)=AB+AC+BD+CD 右=(A+B)(B+D) (A+C)(C+D),对偶式为: AB+AC+BD+CD 对偶式相等,推得左=右。 4、(A+C')(B+D)(B+D')= (A+C')(B+BD+BD')= (A+C')B=AB+BC' 题1.7 在下列各个逻辑函数中,当变量A 、B 、C 为哪些取值组合时,函数Y 的值为1。 Y AB BC A C '=++ Y AB A B C A B ABC '''''=+++ Y AB A B C A B ABC '''''=+++ ()Y AB BC A B '=++ Y=AB+BC+A'C = AB(C+C')+BC (A+A')+A'C(B+B') =m7+m6+m1+m3 使以上四个最小项为1时,Y 为1. 即:111;110;011;001 (2)000,001,011,100 (3)100,101,000,011,010,111 (4)110,111,010 题1.8 列出下面各函数的真值表

第四章 电位分析法习题解答知识交流

第四章电位分析法习 题解答

第四章电位分析法 1.M1| M1n+|| M2m+| M2在上述电池的图解表示式中,规定左边的电极为( ) (1) 正极 (2) 参比电极 (3) 阴极 (4) 阳极 解:(4) 2. 下列强电解质溶液在无限稀释时的摩尔电导λ∞/S·m2·mol-1分别为: λ∞(NH4Cl)=1.499×10-2,λ∞(NaOH)=2.487×10-2,λ∞(NaCl)=1.265×10-2。所以NH3·H2O 溶液的λ∞(NH4OH) /S·m2·mol-1为( ) (1) 2.721×10-2 (2) 2.253×10-2 (3) 9.88 ×10-2 (4) 1.243×10-2 解:(1) 3.钾离子选择电极的选择性系数为,当用该电极测浓度为 1.0×10-5mol/L K+,浓度为 1.0×10-2mol/L Mg溶液时,由 Mg引起的 K+测定误差为( ) (1) 0.00018% (2) 1.34% (3) 1.8% (4) 3.6% 解:(3) 4. 利用选择性系数可以估计干扰离子带来的误差,若,干扰离子的浓度为0.1mol/L,被测离子的浓度为 0.2mol/L,其百分误差为(i、j均为一价离子)( ) (1) 2.5 (2) 5 (3) 10 (4) 20 解:(1) 5.下列说法中正确的是:

晶体膜碘离子选择电极的电位( ) (1) 随试液中银离子浓度的增高向正方向变化 (2) 随试液中碘离子浓度的增高向正方向变化 (3) 与试液中银离子的浓度无关 (4) 与试液中氰离子的浓度无关 解:(1) 6.玻璃膜钠离子选择电极对氢离子的电位选择性系数为 100,当钠电极用于测定1×10-5mol/L Na+时,要满足测定的相对误差小于 1%,则试液的 pH 应当控制在大于 ( ) (1) 3 (2) 5 (3) 7 (4) 9 解:(4) 7.离子选择电极的电位选择性系数可用于( ) (1) 估计电极的检测限 (2) 估计共存离子的干扰程度 (3) 校正方法误差 (4) 计算电极的响应斜率 解:(2) 8.在电位滴定中,以?E/?V-V(?为电位,V为滴定剂体积)作图绘制滴定曲线, 滴定终点为:( ) (1) 曲线的最大斜率(最正值)点 (2) 曲线的最小斜率(最负值)点 (3) 曲线的斜率为零时的点

相关文档