文档库 最新最全的文档下载
当前位置:文档库 › 用PLCsim模拟WinCC工程

用PLCsim模拟WinCC工程

用PLCsim模拟WinCC工程
用PLCsim模拟WinCC工程

项目要让用户单位来参观, 可是PLC设备已经运走了,没办法,试用了下PLCsim 这个SIEMENS自己的模拟软件, 实现步骤记录下来, 下次备用.

PLCsim随着Step 7 professional 版一起出售, 普通的step 7里面没有, 不过可以很容易下载到.

我用的版本是: step 7 V5.4 sp1, WinCC V5.1 asia, Simatic Net V6.4 ,plcsim 用的是最新的V5.3 sp1版

本来项目用的是工业以太网通讯, 可是要使用PLCsim, 它只支持MPI协议, 并且没有联网功能. 想想也是, 如果联网功能也有, 再加上能直接输出的话, 那谁还会买siemens的PLC 啊...呵呵

还好, 以太网改成MPI并不困难. 只要新建一个MPI协议, 将以太网驱动下建好的所有变量, 全部剪切,粘贴到"MPI驱动" 下就好了. 只是实际项目实施的时候, 别忘了剪切回去就好了.

1. 安装

先安装step 7. 然后再装PLCsim. 这样在simatic 管理器里面, 就有一个启动plcsim的图表, 点击就可以启动plcsim 了.

WinCC V5.1 的缺省安装还不够, 必须在“通讯”组件下,选择安装“S7Dos”和“对象管理器”组件。如下图所示.

据说WinCC V5 sp2 之后的版本, “S7Dos”是默认安装的, 没找到这项,看样子好像已经装了,呵呵.

2. 配置step7 和PLCsim

1)打开step7 管理器, 打开原来组态好的工程

2)点击图表启动plcsim程序.

3)打开硬件配置, 查看cpu上MPI接口的号码(比如我这是MPI地址为5).

4)点击下载硬件配置, 出来的对话框中选择通过MPI address =5 来连接CPU.

5)然后下载已经编好的程序blocks 到PLC中, 并启动PLCsim 到运行状态.

这样就配置好了, 可以在step7中在线调试程序,查看出错信息...等等操作了.

3. 配置WinCC 连接到PLCsim

前面说过了,PLCsim只支持MPI 协议的连接, 如果你的WinCC工程不是使用的MPI协议,暂时改成MPI 协议(全选,剪切,粘贴,一分钟搞定)

1)如果没有s7 suit驱动, 添加它.

2)MPI驱动下新建一个连接, MPI地址选5.

3)将原来做好的tag变量,剪切粘贴到MPI连接下, 地址什么的都不用动.

4)运行wincc工程即可.

如果要查看是否已经连上了PLCsim, 可以使用WinCC自带的通道诊断工具.

开始--> simatic --> wincc --> tools --> Channel Diagonisis

标签: 控制

分类: 控制

将本文分享至:

阅读(2952) | 评论(1) | 转帖| 推荐| 举报

WinCC-STEP7仿真

WinCC-STEP7仿真 一、描述 通常我们做项目的过程中有PLC编程(STEP7平台),上位组态监控(WinCC),WinCC与PLC通过以太网方式通讯实现监控;项目编程工作完成后,为了安全起见,我们通常会通过仿真的方式测试程序,检查错误,本文介绍仿真建立的过程; 二、材料 WinCC v7.5,STEP7 v5.6,S7-PLCSIM V5.4+SP8 三、STEP7仿真步骤 在Step7中打开项目如图1所示,点击选项(Options)显示下拉窗口,选中“设置PG/PC接口”进入图2; 图1 选择PLCSIM.TCPIP.1 以太网方式通讯,点击确定; 返回Step7如图3所示,打开仿真器如图4所示; 在图4中可看到PLCSIM(TCP/IP)协议,对应PG/PC接口;

图2 图3 图4

PLC处于STOP模式见图4,如图5所示下载程序到仿真器(全部内容); 打开OB1如图7,点击在线如图8所示,且在状态栏可知PLC状态为STOP; 图5 图6

图7 图8

打开仿真器,将PLC状态调整为RUN-P如图9,至此STEP7仿真成功; 图9 图10

四、WinCC仿真步骤 打开WinCC项目如图10所示,点击“变量管理”->“SIMATIC”-> “TCP/IP”-> “系统参数” 在“系统参数-TCP/IP”->“逻辑设备名称”设置为PLCSIM.TCPIP.1 图11 在“变量管理”->“SIMATIC”-> “TCP/IP”-> “PLC1”中右击连接参数-TCPIP 如图12所示,在IP地址栏需要输入PLC的IP地址; 如何查看PLC的IP地址: 在STEP7中选择图13中hardware,进入图14,点击硬件CP443-1的属性即可查看PLC的IP地址; 注:WinCC修改变量管理中的通讯参数之后再重新启动WinCC

WINCC与STEP7的通信

WinCC与Step7-300通讯 步骤如下: 1、用一根普通网线连接计算机和以太网模块。 2、启动Step 7 ,点击“选项---->设置PC/PG接口”,将通讯接口设置为ISO的那个,指向你正在使用的网卡。 3、点击“PLC--->编辑以太网节点”,输入以太网模块上的MAC 地址,以及你需要分配的IP地址,子网掩码,最后点击“分配IP组态”,IP分配完成。 4、点击“选项---->设置PC/PG接口”,将通讯接口设置成TCP/IP。 5、在PLC硬件组态中,将以太网IP地址设置成你刚才分配的那个IP。 6、现在你可以正常通过以太网下载程序了。 如果mmc卡是空的或者卡里面的硬件配置程序跟现有硬件一致,可以用mac地址下载。否则,必须先清空mmc卡或者先用mpi下载 ?三菱PLC输出指示灯安装于各自的输出模块上,PLC输出指示灯用于指示PLC输出信号的状态。当输出指示灯不亮时,检查、确定故障原因。 ?当利用编程器检查,确认PLC输出已经为“1”,且更换模块后PLC输出可以正常输出时,如对应的指示灯还是不亮,在检查输出模块安装、连接正常的基础上,可以 确认故障是PLC输出模块或对应的输出点本身不良引起的。输出模块、输出点本身 不良可能的原因有: ? 1.采用汇点输出(无源)时,可能PLC输出接口电路损坏;

? 2.采用源输出(有源)时,因输出负载过重、短路引起了三菱PLC内部电源电压的降低、保护; ? 3.当故障发生在扩展单元时,可能是基本单元与扩展单元间的连接不良; ? 4.三菱PLC输出接口电路损坏等。测量三菱PLC输出电压、检查模块安装与连接,在确认正确后,应更换输出模块或进行输出模块的维修与处理。 本章通过一个实际例子讲述WinCC与S7-300 PLC之间通过Ethernet的通讯方法。下面列举了一些在通讯中常用的术语。 4.1 通讯术语 (1)通讯 通讯用于描述两个通讯伙伴之间的数据交换。 (2)通讯伙伴 通讯伙伴是指可以相互进行通讯的模块,也可是说它们互相交换数据。它们可以是PLC中的中央处理器板和通讯处理器,也可以是PC中的通讯处理器。(3)通讯驱动程序 在WinCC中通讯驱动程序也指通道。它是一个软件组织,可在自动化系统和WinCC中的变量管理器之间设置连接,以便能向WinCC变量提供过程值。在WinCC中有很多通讯驱动程序,可通过不同的总线系统连接不同的自动化系统。(4)通道单元 通道单元指的是一种网络或连接类型。每个使用的通道单元必须分配给相关的通讯处理器。一些通道单元需要附加系统的组态。 (5)连接 连接是两个通讯伙伴组态的逻辑分配,用于执行已定意的通讯服务。每个连接有两个端点,它们包含对通讯伙伴进行寻址所必需的信息,以及用于建立连接的附件属性。 一旦WinCC与自动化建立正确的物理连接,就需要WinCC的通讯驱动程序和相关的通道单元来建立或组态与自动化系统间的逻辑连接。每个通道单元下可有多个连接。 4.2 建立WinCC与PLC间通讯的步骤 ●创建WinCC站与自动化系统之间的物理连接。 ●在WinCC项目中添加适当的通道驱动程序。 ●在通道驱动程序适当的通道单元下建立与制订通讯伙伴的连接。 ●在连接下建立变量。 WinCC变量管理器管理运行时的WinCC变量。它的任务是从过程中取出请求的变量值。 4.3 创建一个S7-300 PLC的项目 要实现WinCC与PLC间的通讯,首先要在PLC创建一个项目。 ●打开SIMATIC Manager,在File菜单下单击“New Project Wizard”,创建一个 项目名为zx的SIMATIC 300 Station。如图4-1所示。

STEP7_v5.4与Wincc_Flexible2008的集成与仿真

STEP 7 v5.4 与 WinCC Flexible 2008的集成与仿真 By Herowuking,2009-8-31 1. 软件环境 STEP7 v5.4 SP4 Wincc Flexible 2008 说明: 网上有人说先安装STEP7 后安装WinCC Flexible就可以 把Wincc Flexible集成到 STEP7里面去,但是我安装完 STEP7 5.4之后安装了Wincc Flexible 2008,虽然可以在STEP7里面插入HMI站点,插入之后却不能够在STEP7里面编辑这个Wincc Flexible项目。所以,我采用的是另外一种方法。 2. 项目集成 STEP1.新建STEP7项目,编写好符号表和代码之后保存; 符号表(编写好之后在WINCC FLEXIBLE中建立变量很轻松了) 我这里编了一个最简单的启停控制做演示

STEP2.新建一个WINCC FLEXIBLE 项目; 【项目】→ 【新建…】→这里我选择了TP270 10”→保存项目; 可以保存到和STEP7相同的目录下,也可以保存在别的地方。这里我放在了STEP7项目目录下新建的一个文件夹中。 执行项目集成到STEP7里面去 【项目】→【在STEP7中集成…】→选择STEP1中新建的项目; 这个时候你再用STEP7打开原来的项目发现下面多出了一个HMI 站点; 这个时候,如果同时开着Wincc Flexible 2008,双击“变量”可以自动打开变量编辑界面。但是如果这个时候Wincc Flexible 没有打开,就会一闪下面的画面,而没有任何其他反应。估计还是STEP7 v5.4SP4和Wincc Flexible 2008集成的不够好吧。 暂且不管这软件上的问题,下一步开始执行STEP7和Wincc Flexible 的连接,实现Step 7的PLCSIM 仿真和Flexible 的仿真通讯。 注意:Wincc Flexible 2008打了SP1以及HotFix5 for SP1的补丁之后,不存在上述问题了。

WINCC与STEP7模拟通信设置

WinCC与Step7-300通讯 4.1 通讯术语 (1)通讯 通讯用于描述两个通讯伙伴之间的数据交换。 (2)通讯伙伴 通讯伙伴是指可以相互进行通讯的模块,也可是说它们互相交换数据。它们可以是PLC中的中央处理器板和通讯处理器,也可以是PC中的通讯处理器。(3)通讯驱动程序 在WinCC中通讯驱动程序也指通道。它是一个软件组织,可在自动化系统和WinCC中的变量管理器之间设置连接,以便能向WinCC变量提供过程值。在WinCC中有很多通讯驱动程序,可通过不同的总线系统连接不同的自动化系统。(4)通道单元 通道单元指的是一种网络或连接类型。每个使用的通道单元必须分配给相关的通讯处理器。一些通道单元需要附加系统的组态。 (5)连接 连接是两个通讯伙伴组态的逻辑分配,用于执行已定意的通讯服务。每个连接有两个端点,它们包含对通讯伙伴进行寻址所必需的信息,以及用于建立连接的附件属性。 一旦WinCC与自动化建立正确的物理连接,就需要WinCC的通讯驱动程序和相关的通道单元来建立或组态与自动化系统间的逻辑连接。每个通道单元下可有多个连接。 4.2 建立WinCC与PLC间通讯的步骤 ●创建WinCC站与自动化系统之间的物理连接。 ●在WinCC项目中添加适当的通道驱动程序。 ●在通道驱动程序适当的通道单元下建立与制订通讯伙伴的连接。 ●在连接下建立变量。 WinCC变量管理器管理运行时的WinCC变量。它的任务是从过程中取出请求的变量值。 4.3 创建一个S7-300 PLC的项目 要实现WinCC与PLC间的通讯,首先要在PLC创建一个项目。 ●打开SIMATIC Manager,在File菜单下单击“New Project Wizard”,创建一个 项目名为zx的SIMATIC 300 Station。如图4-1所示。

如何将step7变量自动导入到wincc

一、从WinCC里调用STEP 7 变量的前提条件 从WinCC里调用STEP 7 变量可以将建立变量的工作量减少一半,同时将建立变量的出错概率建设一半,从而减少了相应的排错工作,大大提高了工作效率。 从WinCC里调用STEP 7 变量的前提条件是,WinCC的项目文件必须是集成在STEP 7项目中的。 在安装所有Simatic 软件前,请查阅软件的安装注意事项,确定操作系统与软件的兼容性。该文档一般位于:CD\Documents\<语言版本>\InstallNotes.chm。 要使用WinCC与STEP 7的集成功能,WinCC和STEP 7必须安装在同一台计算机上,必须在安装WinCC之前安装STEP 7。STEP 7 与WinCC的版本必须一致。WinCC与STEP 7的版本兼容行列表可以在西门子自动化与驱动集团的技术支持与服务网站上获得。 请STEP 7安装完毕后,进行WinCC安装。在WinCC安装过程中,请选择“自定

义安装”,在communitation 下的所有选项都必须钩选。 二、在Simatic Manager里建立新的WinCC项目 在建立新的STEP 7项目后,可以在Simatic Manager里直接建立新的WinCC项目。方法是在Simatic Manager里,右键点击项目名称,选择“Insert New Object\OS”。此时系统会在STEP 7 项目文件里建立一个WinCC项目文件 你可以在OS站上点击右键,选择“Re name”来修改WinCC项目名称。 可以通过在名称上点击右键,选择“Open Object”打开并编辑这个WinCC项目 三、把现成的WinCC项目集成到STEP 7 项目中 按如下步骤把已有WinCC项目文件插入STEP 7项目文件 A. 在STEP 7项目文件中插入一个OS站,然后把它改名为已有的WinCC项目名称。 B. 在STEP 7项目里删除因仅插入OS站而产生的WinCC项目文件,其位置在STEP

WINCC与STEP7地通信

WinCC与Step7-300通讯 本章通过一个实际例子讲述WinCC与S7-300 PLC之间通过Ethernet的通讯方法。下面列举了一些在通讯中常用的术语。 4.1 通讯术语 (1)通讯 通讯用于描述两个通讯伙伴之间的数据交换。 (2)通讯伙伴 通讯伙伴是指可以相互进行通讯的模块,也可是说它们互相交换数据。它们可以是PLC中的中央处理器板和通讯处理器,也可以是PC中的通讯处理器。(3)通讯驱动程序 在WinCC中通讯驱动程序也指通道。它是一个软件组织,可在自动化系统和WinCC中的变量管理器之间设置连接,以便能向WinCC变量提供过程值。在WinCC 中有很多通讯驱动程序,可通过不同的总线系统连接不同的自动化系统。(4)通道单元 通道单元指的是一种网络或连接类型。每个使用的通道单元必须分配给相关的通讯处理器。一些通道单元需要附加系统的组态。 (5)连接 连接是两个通讯伙伴组态的逻辑分配,用于执行已定意的通讯服务。每个连接有两个端点,它们包含对通讯伙伴进行寻址所必需的信息,以及用于建立连接的附件属性。 一旦WinCC与自动化建立正确的物理连接,就需要WinCC的通讯驱动程序和相关的通道单元来建立或组态与自动化系统间的逻辑连接。每个通道单元下可有多个连接。 4.2 建立WinCC与PLC间通讯的步骤 ●创建WinCC站与自动化系统之间的物理连接。 ●在WinCC项目中添加适当的通道驱动程序。 ●在通道驱动程序适当的通道单元下建立与制订通讯伙伴的连接。 ●在连接下建立变量。 WinCC变量管理器管理运行时的WinCC变量。它的任务是从过程中取出请求的变量值。 4.3 创建一个S7-300 PLC的项目 要实现WinCC与PLC间的通讯,首先要在PLC创建一个项目。 ●打开SIMATIC Manager,在File菜单下单击“New Project Wizard”,创建 一个项目名为zx的SIMATIC 300 Station。如图4-1所示。

基于Step7和WinccFlexible联合仿真教程

基于Step7和WinccFlexible联合仿真教程目录 0 项目要求:..................................................................... .............................................. 2 1 项目分析与规 划: .................................................................... .................................... 2 2 系统IO口分配:..................................................................... ..................................... 2 3 系统接线原理 图: .................................................................... .................................... 2 4 系统控制方式规划:..................................................................... .. (2) 5 系统硬件选择与组态...................................................................... . (3) 6 PLC程序设计...................................................................... ........................................ 19 7 触摸屏通讯设置、画面设计与变量控制....................................................................... 25 8 项目仿真测 试 .....................................................................

wincc与step7仿真通讯设置

wincc与step7仿真通讯设 置 liudaxian2000 |2018-06-30 | 2.1分(高于81.78%的文档)|4235|142 |简介|举报手机打开 共享文档 一、MPI网的仿真 1、PC/PG端口选择:STEP7选PLCSIM(MPI),WINCC选MPI (Wincc)――>PLCSIM(MPI)。 2、先在STEP7V5·4软件编好控制程序。 3、打开S7-PLCSIMV5·4软件,在出来的窗口中勾下面的那个选项, 点第二个选项,选择打开STEP7V5·4程序的项目。 4、下载程序并运行。 5、打开WINCC V6.0并新建项目,在变量管理添加新的驱动程序, 选····S7···的,然后在MPI新建连接,在MPI上点击右键――>系统参数――>单元――>在逻辑设备项选择“PLCSIM(MPI)”。 6、新建变量并编辑画面,然后保存运行。 二、TCP/IP网的仿真 1、PC/PG端口选择:STEP7选PLCSIM(RFC 1006),WINCC 选CP_I2_1:――>PLCSIM(RFC 1006)。

2、先在STEP7V5·4软件组态硬件(要有CP343-1并填入IP地址)并编好控制程序。 3、打开S7-PLCSIMV5·4软件,在出来的窗口中勾下面的那个选项,点第二个选项,选择打开STEP7V5·4程序的项目。 4、下载程序并运行。 5、打开WINCC V6.0并新建项目,在变量管理添加新的驱动程序,选····S7···的,然后在TCP/IP新建连接(记得填入STEP7组态时的IP 地址),更改插槽号为2。在TCP/IP上点击右键――>系统参数――>单元――>在逻辑设备项选择“CP_I2_1:”。 6、新建变量并编辑画面,然后保存运行。 三、PROFIBUS网的仿真 1、PG/PC接口选择:STEP7选择S7ONINE(STEP7)――>PLCSIM (PROFIBUS),WINCC选CP_I2_1:――>PLCSIM(PROFIBUS)。 2、WINCC中在PROFIBUS新建连接,然后进入PROFIBUS的系统参数――>单元――>逻辑设备名称选:PLCSIM(PROFIBUS)。 3、其它和MPI及TCP/IP的仿真一样。 *PLCSIM 版本5.4

wincc集成到step7中

1.从WinCC里调用STEP 7变量的前提条件 从WinCC里调用STEP 7 变量可以将建立变量的工作量减少一半,同时将建立变量的出错概率减少一半,从而减少了相应的排错工作,大大提高了工作效率。 从WinCC里调用STEP 7 变量的前提条件是,WinCC的项目文件必须是集成在STEP 7项目中的。 在安装所有Simatic 软件前,请查阅软件的安装注意事项,确定操作系统与软件的兼容性。该文档一般位于:CD\Documents\<语言版本>\InstallNotes.chm。 要使用WinCC与STEP 7的集成功能,WinCC和STEP 7必须安装在同一台计算机上,必须在安装WinCC之前安装STEP 7。STEP 7 与WinCC的版本必须兼容。WinCC 与STEP 7的版本兼容性列表可以在西门子自动化与驱动集团的技术支持与服务网站上获得。 请STEP 7安装完毕后,进行WinCC安装。在WinCC安装过程中,请选择“自定义安装”,并且选中下图中红框标识的选件: 图 1. 与集成相关的WinCC组件 2.在Simatic Manager里建立新的WinCC项目 在建立新的STEP 7项目后,可以在Simatic Manager里直接建立新的WinCC项目。方法是在Simatic Manager里,右键点击项目名称,选择“Insert New Object\OS”。此时系统会在STEP 7 项目文件里建立一个WinCC项目文件。

图 2. 插入OS站 你可以在OS站上点击右键,选择“Rename”来修改WinCC项目名称。 可以通过在名称上点击右键,选择“Open Object”打开并编辑这个WinCC项目。 图 3. 改名和打开WinCC项目 3.把现成的WinCC项目集成到STEP 7项目中 如果你在一台计算机上已经安装了兼容的WinCC和STEP 7,并且有了一个单独使用的WinCC项目,想把它集成到一个已有的STEP 7项目中去。

基于现场程序实现PLC S7与wincc联合仿真

基于SIMPLC实现PCS7-WINCC联合仿真 1、把备份PLC程序恢复归档,解压 2、桌面打开SIMTIC Manger,选择step7模式 3、打开网络组态,更改网络连接,把原有网络连接删掉,新建仿真用的网络连接,编译保 存。连接类型connection type选择S7-connection。然后选择主CPU CPU414-4H,确定便已保存。

4、打开硬件组态,打开网络连接设置,把IP protocol is be used 打上勾,激活mac 码,IP, 记住该mac码后几位:46-4E,以后网络连接用该MAC码对应选择。编译保存。 5、点开wincc os 主机,右键选择complie 编译,把PLC变量送入wincc生成wincc对用变 量。Complie—next—YJL—右键select network connection,选择mac码后几位:46-4E 的 工业以太网。

6、在SIMTIC Manger 编辑画面中选择 os wincc ,右键点击打开wincc ,选择computer 右键 属性,点击Use local computer name ,选择转换成使用本地计算机。确定,退出wincc ,重启wincc 进入下一项设置 7、打开 SIMATIC S7 PROTOCOL SUITE 协议组下面的industral Ethernet 工业以太网,点击系统参数, 选择连接端口 为仿真连接端口 PLCSIM(ISO)

8、打开仿真器S7-PLCSIM,访问CUP节点中,选择cpu414-4H下面的cp443-1 mac为46-4E的连接点。 9、以上是设置好PLC与wincc的通信连接设置,接着就要回到S7,点击选中项目YJJL 工作站,右键PLC download,或直接点击菜单栏下载图标,将PLC程序下载到存储器中。 完成以上步骤,仿真设置就完成了。点击wincc 蓝色三角形运行图标,激活运行wincc,大功告成。

基于Step7和Wincc_Flexible联合仿真教程

基于DP的 触摸屏和S7-300 联合仿真

一、任务要求: (1)有一台三相交流异步电动机,可以用操作站上的按钮控制,也可以用监控站的触摸屏控制; (2)操作站安装有三个按钮SB1、SB2和SB3,其中按钮SB1可以实现电动机的点动控制,按钮SB2控制电动机的连续运行,按钮SB3用来控制电动机的停止。另外操作站还有一个绿色指示灯HL1,当电动机点动运行时,HL1以1Hz的频率闪烁;当电动机连续运行时,HL1常亮;电动机停止运行时,HL1熄灭。 (3)触摸屏上设置有三个按钮,分别显示“点动”、“连续”和“停止”,其作用和操作站按钮SB1、SB2和SB3作用一样。触摸屏上同时有图形和文字显示当前电动机的三种状态(点动运行、连续运行与电机停止)。 二、项目分析与规划: 在本项目中,需要使用按钮和触摸屏来控制PLC程序,进而控制电动机的运行与停止,而PLC同时要通知触摸屏显示电动机的工作状态,PLC还需要控制指示灯指示电机目前的工作状态,所以项目的控制结构如图2.1所示。 2.1 项目控制结构 三、系统IO口分配:

四、系统控制方式规划: (1)PLC控制程序规划 (2)触摸屏画面规划 1)只需要一幅画面 2)需要放置三个按钮对象,名称分别为“点动”、“连续”和“停止” 3)需要用图形来表示电动机的状态,可以采取用三个图形分别表示三种状态,也可以用一个图形不同颜色来表示三种状态,还可以用一个图形不同颜色/不同表现形式表示三种状态,在实例中选择一个图形不同颜色/不同表现形式表示。 4)需要用文本来显示电动机的状态,可以用文本的可见性来完成控制效果(电动机点动时,“点动运行”文本可见并闪烁;电动机连续运行时,“连续运行”文本可见;电动机停止时,“电机停止”文本可见)。 5)触摸屏需要传送给PLC的变量包括三个按钮,需要使用布尔变量来模拟一个按钮的动作(包括按钮按下和释放);触摸屏需要读取PLC的信息来显示电动机的状态,可以使用布尔变量,也可以使用整数变量(在实例中使用整数变量较为方便),并且图形显示和文本显示可以共用一个变量。 五、系统硬件选择与组态 在本项目中,选择PLC的类型为CPU314C-2DP,该CPU集成的输入输出点可以满足系统要求。触摸屏的型号为TP177B 6” color PN/DP。

WINCC与STEP7的通信

WinCC与Step7-300通讯 本章通过一个实际例子讲述WinCC与S7-300 PLC之间通过Ethernet的通讯方法。下面列举了一些在通讯中常用的术语。 4.1 通讯术语 (1)通讯 通讯用于描述两个通讯伙伴之间的数据交换。 (2)通讯伙伴 通讯伙伴是指可以相互进行通讯的模块,也可是说它们互相交换数据。它们可以是PLC中的中央处理器板和通讯处理器,也可以是PC中的通讯处理器。(3)通讯驱动程序 在WinCC中通讯驱动程序也指通道。它是一个软件组织,可在自动化系统和WinCC中的变量管理器之间设置连接,以便能向WinCC变量提供过程值。在WinCC中有很多通讯驱动程序,可通过不同的总线系统连接不同的自动化系统。(4)通道单元 通道单元指的是一种网络或连接类型。每个使用的通道单元必须分配给相关的通讯处理器。一些通道单元需要附加系统的组态。 (5)连接 连接是两个通讯伙伴组态的逻辑分配,用于执行已定意的通讯服务。每个连接有两个端点,它们包含对通讯伙伴进行寻址所必需的信息,以及用于建立连接的附件属性。 一旦WinCC与自动化建立正确的物理连接,就需要WinCC的通讯驱动程序和相关的通道单元来建立或组态与自动化系统间的逻辑连接。每个通道单元下可有多个连接。 4.2 建立WinCC与PLC间通讯的步骤 ●创建WinCC站与自动化系统之间的物理连接。 ●在WinCC项目中添加适当的通道驱动程序。 ●在通道驱动程序适当的通道单元下建立与制订通讯伙伴的连接。 ●在连接下建立变量。 WinCC变量管理器管理运行时的WinCC变量。它的任务是从过程中取出请求的变量值。 4.3 创建一个S7-300PLC的项目 要实现WinCC与PLC间的通讯,首先要在PLC创建一个项目。 ●打开SIMATIC Manager,在File菜单下单击“New Project Wizard”, 创建一个项目名为zx的SIMATIC 300 Station。如图4-1所示。

step7 5.5导入到WINCC画面中

WinCC STEP7 DB块中的变量如何直接导入WinCC变量表? 1、从WinCC里调用STEP 7 变量的意义和前提条件 2、在Simatic Manager里建立新的WinCC项目 3、把现成的WinCC项目集成到STEP 7 项目中 4、把变量(符号表,共享DB)从STEP 7传送到WinCC里 5、在WinCC里直接调用STEP 7的符号表或共享DB块里的变量 该文档的软件环境: Windows XP Professional SP1 English WinCC V6.0 SP2 HF2 Europe STEP 7 V5.3 SP1 1、从WinCC里调用STEP 7 变量的前提条件 从WinCC里调用STEP 7 变量可以将建立变量的工作量减少一半,同时将建立变量的出错概率建设一半,从而减少了相应的排错工作,大大提高了工作效率。 从WinCC里调用STEP 7 变量的前提条件是,WinCC的项目文件必须是集成在STEP 7项目中的。 在安装所有Simatic 软件前,请查阅软件的安装注意事项,确定操作系统与软件的兼容性。该文档一般位于:CD\Documents\<语言版 本>\InstallNotes.chm。 要使用WinCC与STEP 7的集成功能,WinCC和STEP 7必须安装在同一台计算机上,必须在安装WinCC之前安装STEP 7。STEP 7 与WinCC的版本必须一致。WinCC与STEP 7的版本兼容行列表可以在西门子自动化与驱动集团的技术支持与服务网站上获得。 请STEP 7安装完毕后,进行WinCC安装。在WinCC安装过程中,请选择“自定义安装”,并且选中下图中红框标识的选件:

基于Step7和Wincc_Flexible联合仿真教程

目录 0 项目要求: (2) 1 项目分析与规划: (2) 2 系统IO口分配: (2) 3 系统接线原理图: (2) 4 系统控制方式规划: (2) 5 系统硬件选择与组态 (3) 6 PLC程序设计 (19) 7 触摸屏通讯设置、画面设计与变量控制 (25) 8 项目仿真测试 (37) 9 现场联机调试 (42)

0 项目要求: (1)有一台三相交流异步电动机,可以用操作站上的按钮控制,也可以用监控站的触摸屏控制; (2)操作站安装有三个按钮SB1、SB2和SB3,其中按钮SB1可以实现电动机的点动控制,按钮SB2控制电动机的连续运行,按钮SB3用来控制电动机的停止。另外操作站还有一个绿色指示灯HL1,当电动机点动运行时,HL1以1Hz的频率闪烁;当电动机连续运行时,HL1常亮;电动机停止运行时,HL1熄灭。 (3)触摸屏上设置有三个按钮,分别显示“点动”、“连续”和“停止”,其作用和操作站按钮SB1、SB2和SB3作用一样。触摸屏上同时有图形和文字显示当前电动机的三种状态(点动运行、连续运行与电机停止)。 1 项目分析与规划: 在本项目中,需要使用按钮和触摸屏来控制PLC程序,进而控制电动机的运行与停止,而PLC同时要通知触摸屏显示电动机的工作状态,PLC还需要控制指示灯指示电机目前的工作状态,所以项目的控制结构如图2.1所示。 2.1 项目控制结构 2 系统IO口分配: 3 系统接线原理图: (略) 4 系统控制方式规划:

(1)PLC控制程序规划 (2)触摸屏画面规划 1)只需要一幅画面 2)需要放置三个按钮对象,名称分别为“点动”、“连续”和“停止” 3)需要用图形来表示电动机的状态,可以采取用三个图形分别表示三种状态,也可以用一个图形不同颜色来表示三种状态,还可以用一个图形不同颜色/不同表现形式表示三种状态,在实例中选择一个图形不同颜色/不同表现形式表示。 4)需要用文本来显示电动机的状态,可以用文本的可见性来完成控制效果(电动机点动时,“点动运行”文本可见并闪烁;电动机连续运行时,“连续运行”文本可见;电动机停止时,“电机停止”文本可见)。 5)触摸屏需要传送给PLC的变量包括三个按钮,需要使用布尔变量来模拟一个按钮的动作(包括按钮按下和释放);触摸屏需要读取PLC的信息来显示电动机的状态,可以使用布尔变量,也可以使用整数变量(在实例中使用整数变量较为方便),并且图形显示和文本显示可以共用一个变量。 5 系统硬件选择与组态 在本项目中,选择PLC的类型为CPU314C-2DP,该CPU集成的输入输出点可以满足系统要求。触摸屏的型号为TP177B 6” color PN/DP。 (1)新建项目,起名并保存(最好不要包括中文) 双击桌面上的“SIMATIC Manager”图标,启动西门子PLC编程软件。

相关文档
相关文档 最新文档