文档库 最新最全的文档下载
当前位置:文档库 › 任意波形发生器设计

任意波形发生器设计

任意波形发生器设计

任意波形发生器的设计

一、设计要求:

本任意波形发生器可以产生如下表所示的波形,输出信号的频率由输入时钟信号及A/D 转换器转换速率决定。

该设计有多种设计实施方案,一种是根据波形函数通过VHDL写出每一种波形的描述代码,再把产生的数据通过外部电路的D/A转换成相应的模拟波形;另一种是用其他的工具产生各种波形数据的16进制代码,通过VHDL描述一个ROM和相应的控制器,再在时钟信号的作用下,把数据输出到外部D/A转换成相应的模拟波形。

不管采用哪一种方案实现,其外部附加电路都是一样,即:波形选择开关(拨码开关)、

相关文档