文档库 最新最全的文档下载
当前位置:文档库 › 自动售货机的控制设计

自动售货机的控制设计

自动售货机的控制设计
自动售货机的控制设计

课程设计课程设计书

目: 自动售货机的控制设计

摘要

自动售货机最基本的功能是对投入的货币进行运算,并根据所投入的货币数值判断是否能够购买某种商品,并做出相应的反映。本次设计的自动售货机设有6个按钮,分别可以实现启动、停止、选择三种饮料和退币六种操作,并且有3个投币孔,投入的钱的总额和剩余的钱的总额可以通过外接的两片LED数码管显示,同时可以根据消费者投入的总额限制可购买的商品,可以退回多余的钱币。本次设计能很好的完成对自动售货机的控制,使用起来快速方便,可以满足使用者的需求。

‘’

目录

引言 (1)

1 系统描述与控制要求 (2)

2 设计方案 (3)

2.1 总体设计思路 (3)

2.2 PLC的选型 (4)

2.3 CD4511七段数码管译码器 (4)

3 I/O分配表 (6)

4 自动售货机控制系统外部接线图 (7)

5 系统软件设计梯形图 (8)

6 系统调试 (11)

设计心得 (12)

参考文献 (13)

引言

自动售货机的基本功能就是对投入的钱币数进行计算,然后根据运算结果做出相应的判断,看看哪种商品可以进行购买,哪种商品不能购买。自动售货机产业正在走向信息化并进一步实现合理化,目前自动售货机的开发致力于能源的节省,节能型清凉饮料自动售货机成为该行业的主流。在夏季电力消费高峰时,这种机型的自动售货机即使在关掉冷却器的状况下也能保持低温,与以往的自动售货机相比,它能够节约10-15%的电力。

可编程控制器(PLC)是一种专门用于工业环境的、以开关量逻辑控制为主的自动控制装置;它具有存储控制程序的存储器,能够按照控制程序,将输入的开关量(或模拟量)进行逻辑运算、定时、计数和算术运算等处理后,以开关量(或模拟量)的形式输出,控制各种类型的机械或生产过程。

PLC的发展与计算机技术、半导体技术、控制技术、数字技术、通信网络技术等高新技术的发展息息相关,这些高新技术的发展推动了PLC的发展,而PLC 得发展又对这些高新技术提出了更高更新的要求,促进了他们的发展。本次设计就在于结合PLC的种种优点联系实际情况来弥补原有系统的不足,利用PLC控制的自动售货机提高了系统的稳定性,保证了自动售货机能够长期稳定的运行。

1 系统描述与控制要求

PLC控制的自动售货机系统主要有三个可以感受到投币量的传感器、六个按钮开关(分别为启动按钮、停止按钮、退币按钮、汽水选择按钮、花茶选择按钮和咖啡选择按钮)、三个指示灯(分别为汽水指示灯、花茶指示灯和咖啡指示灯)、和两个七段数码管。传感器用来接收投币信号,传送投币量的多少;按钮开关分别对系统功能进行控制,当投入的钱币够某一种饮料时,按下这种饮料的选择按钮时才会有饮料送出,有剩余钱币时,还可以通过按下退币按钮来找回剩余钱币;三个指示灯分别用来只是哪种饮料可以选择,只有投币量大于或等于这种饮料的价格时,这种饮料的指示灯才会亮;同时,还有两个七段数码管,用于显示当前剩余的总钱币。具体对自动售货机的控制要求如下:

1.自动售货机有3个投币孔,分别为1元、5元和10元。

2.售货共有3种饮料供选择,分别为汽水、花茶和咖啡。

3.如投币总额超过销售价格,将可由退币钮找回余额。

4.投币总额或当前值显示在7段数码管上。

5.投币值等于或大于12元时,汽水指示灯亮,表示只可选择汽水。

6.投币值等于或大于15元时,汽水和花茶指示灯亮,表示只可选择汽水和花茶。

7.投币值等于或大于20元时,汽水、花茶和咖啡指示灯亮,表示3种均可选择。

8.按下要饮用的饮料按钮,则相对应的指示灯开始闪烁,3s后自动停止,表示饮料已经掉出。

9.动作停止后按退币钮,可以退回余额,退回金额如果大于10元,则先退10元再退1元,如果小于10元则直接退1元的。

2 设计方案

2.1 总体设计思路

自动售货机的基本功能就是对投入的钱币数进行计算,然后根据运算结果做出相应的判断,看看哪种商品可以进行购买,哪种商品不能购买,本次课程设计的要求是可识别三种钱币,分别是1元、5元、10元,采用三个按钮进行模拟,自动售货机共出售3种货物,其价格分别定为12元、15元、20元,当投币数大于等于货物价格时,该种货物的指示灯亮,表示可以进行购买,3种货物分别用3个信号灯进行指示。除此之外,本次设计还涉及了显示、找零等功能的实现,其中显示部分采用数码管进行显示,PLC的外围接口由两个CD4511连接两个数码显示管,以显示投币总数和购买后的余额;当按下找零按钮后,数码管显示进行清零。整个系统由三个可以感受到投币量的传感器、六个按钮开关、三个指示灯、和两个七段数码管和两片CD4511组成。

系统的总体结构流程图如下:

图2-1 系统总体结构流程图

2.2 PLC的选型

现在世界上PLC的生产厂家有200多家,提供400多个品种的PLC供用户选择,目前我国市场上主要的PLC产品有:西门子公司的S7-400/300/200系列、施奈德公司的momentum等、还有就是日本的欧姆龙、三菱、松下等公司的产品。西门子公司生产的PLC可靠性高,特别适用于大的工业控制系统,造价比较高,对于小型的自动售货机的控制系统来说成本过高。

相反,目前我国市场上主流的小型的三菱PLC就比较合适,三菱公司生产的小型PLC的代表为FX-2N系列的PLC、它具有丰富的内部资源:程序存储器具有16K步的最大存储容量,128种应用指令,还具有184点8进制编号的输入点数,184点8进制编号的输出点数,普通型、掉电保持性和赋予特殊用途型三种内部继电器,以及状态寄存器、定时器、计数器、数据寄存器、常数与指针等功能与资源。可靠性高、造价低,对于自动售货机的控制中心就特别的合适。因此,本次设计采用的PLC为三菱公司生产的FX-2N系列单片机。

2.3 CD4511七段数码管译码器

CD4511是一组用来作为BCD对共阴极LED七段显示器译码的包装。其引脚图,其各引脚功能如下:

LT:做灯泡测试用,当LT=0,则不论其它输入状态为何,其输出abcdefg=1111111,使七段显示器全亮,即显示8,以便观测七段显示器是否正常。当LT=1,则正常解码。

BI:空白输入控制,当BI=0 (LT 为1 时) 则不论DCBA 之输入为何,其输出abcdefg皆为0,即七段显示器完全不亮,此脚可供使用者控制仅对有效数据译码,避免在无意义的数据输入时显示出来造成字型的系乱。

LE:数据栓锁致能控制;在CD4511 中,不但具译码功能,更具有数据栓锁的记忆功能。当LE=0 时(LT=1 且BI=1),DCBA 数据会被送入IC 的缓存器中保存,以供译码器码;当LE=1 时,则IC 中的暂存器会关闭,仅保存原来在LE=0 时的DCBA数据供译码器译码。换句话说当LE=1 时,不论DCBA 的输入数据为何,皆不影响其输出,其输出abcdefg 仍保留原来在LE 由0转为1以前的资料。

图2-2 CD4511引脚图

3 I/O分配表

此次设计的PLC控制的自动售货机控制系统的I/O分配表如下:

表3-1 自动售货机I/O分配表

4 自动售货机控制系统外部接线图

图4-1 自动售货机外部接线图

5 系统软件设计梯形图系统编程梯形图如下:

6 系统调试

首先接好系统的硬件电路,然后将梯形图下载到PLC中,再运行程序。在整个程序的调试过程中,我遇到了一些问题,最初的设计比较简单,只是将投币,购买的过程考虑进去,而没有将投币后显示模块加进设计的方案中,后来在仔细阅读设计要求后,我又将显示模块加进设计的方案中,用了两个七段数码管和两个CD4511七段数码管译码器构成了PLC的外接显示部分,这样投入的总钱币和剩余的钱币数量就可以在数码管上显示出来。

在数码显示的过程中也遇到了一些问题,数码显示需要两片七段数码管,如果使PLC直接连接七段数码管的话,需要14个输出,输出接口过多,系统变得复杂化,在查找各方面的资料后,决定采用两片七段数码管译码器CD4511来驱动两片七段数码管,大大减少了输出点数,程序运行也简单得多,数码显示功能顺利实现。

在找零阶段,按下找零按钮后直接没有设计将数码显示清零功能,后来经过思考和仔细探讨整个系统,将找零部分又逐步进行了完善,将找零的过程在数码管上显示了出来,找零时只找两种钱币,即10元的和1元的,先找大面额的10元的钱币,后找小面额的1元的钱币,其内部进行减法运算,使得整个找零的过程清晰可见。

经过认真的调试,使整个系统能够按照要求完成各种工作,FX-2N系列PLC 控制的自动售货机的设计工作也最终完成。

设计心得

通过一周的PLC的课程设计,在自己的努力下,最终完成FX-2N系列PLC 控制自动售货机系统的设计。本控制系统就是充分利用了PLC、接口电路芯片以及显示模块。实现了对自动售货机的控制,达到了设计的目的。这次设计的PLC 控制自动售货机系统的主要内容包括设计出了硬件系统的接线图、梯形图等;并且系统具有启动、停止功能;运用功能指令进行PLC控制程序设计;还进行了系统调试,实现自动售货机的控制要求。

在设计中运用所学的理论知识,进行自动售货机运行原理设计、硬件系统设计、软件系统设计、创新设计,提高理论知识工程应用能力、系统调试能力、分析问题与解决问题的能力。综合运用了所学的知识,理论与实际相结合,提出并论证设计方案,然后进行软、硬件的设计、制作与调试,最后获得正确的结果。加深了对所学理论知识的巩固,加强了建立PLC控制工业机械和生产过程系统的整体概念,初步掌握了PLC控制工业生产和社会生活的软、硬件开发方法,为以后进行实际的PLC软、硬件应用开发奠定了良好的基础。与此同时,也加强了我们对问题的分析解决能力,为以后的毕业设计和毕业后的工作做了充分的准备。

在本次课程设计中受到老师的耐心指导,使我们进一步学习到了对问题的整体把握,学会了分析及解决方法的能力;在此表示衷心的感谢!

参考文献

1.阎石.《数字电子技术基础》北京:高等教育出版社,2006.

2.康华光.《电子技术基础模拟部分》北京:高等教育出版社,2008.

3.冯博琴,吴宁.《微型计算机原理与接口技术》北京:清华大学出版社,2007.

4.朱玉玺,崔如春,邝小磊.《计算机控制技术》北京:电子工业出版社,2010.

5.夏路易,石宗义.《电路原理图与电路板设计教程protel 99se》北京:北京希望电子出版社,2002.

6.常晓玲.《电气控制系统与可编程控制器》北京:机械工业出版社,2008.

自动售货机控制系统的设计(已修)

自动售货机控制系统的设计专业:电子信息工程 班级:10级电信(1)班 学号:12010248815 姓名:李浩

1.1系统描述与控制要求 PLC 控制的自动售货机系统主要有三个可以感受到投币量的传感器,六个按钮开关(分别为启动按钮、停止按钮、退币按钮、汽水选择按钮、花茶选择按钮和咖啡选择按钮)三个指示灯,(分别为汽水指示灯,花茶指示灯和咖啡指示灯),和两个七段数码管。传感器用来接收投币信号,传送投币量的多少;按钮开关分别对系统功能进行控制,当投入的钱币够某一种饮料时,按下这种饮料的选择按钮时才会有饮料送出,有剩余钱币时,还可以通过按下退币按钮来找回剩余钱币;三个指示灯分别用来只是哪种饮料可以选择,只有投币量大于或等于这种饮料的价格时,这种饮料的指示灯才会亮;同时,还有两个七段数码管,用于显示当前剩余的总钱币。具体对自动售货机的控制要求如下: 1.自动售货机有3 个投币孔,分别为1 元、5 元和10 元。 2.售货共有3种饮料供选择,分别为汽水、花茶和咖啡。 3.如投币总额超过销售价格,将可由退币钮找回余额。 4.投币总额或当前值显示在7段数码管上。 5.投币值等于或大于12 元时,汽水指示灯亮,表示只可选择汽水。 6.投币值等于或大于15元时,汽水和花茶指示灯亮,表示可选择汽水和茶。 7.投币值等于或大于20元时,汽水、花茶和咖啡指示灯亮,表示3 种均可选择。 8.按下要饮用的饮料按钮,则相对应的指示灯开始闪烁,3s 后自动停止,表示饮料已经掉出。 9.动作停止后按退币钮,可以退回余额,退回金额如果大于10元,则先退10元再退1 元,如果小于10元则直接退 1 元的。 1.2控制工艺分析 自动售货机的基本功能就是对投入的钱币数进行计算, 然后根据运算结果做出相应的判断,看看哪种商品可以进行购买,哪种商品不能购买,本次课程设计的要求是可识别三种钱币,分别是 1 元,5元,10 元,采用三个按钮进行模拟, 自动售货机共出售3 种货物,其价格分别定为12 元,15元,20元,当投币数大于等于货物价格时,该种货物的指示灯亮,表示可以进行购买,3 种货物分别用3个信号灯进行指示.除此之外,本次设计还涉及了显示,找零等功能的实现, 其中显示部分采用数码管进行显示, PLC的外围接口由两个CD4511 连接两个数码显示管,以显示投币总数和购买后的余额;当按下找零按钮后,数码管显示进行清零。整个系统由三个可以感受到投币量的传感器,六个按钮开关,三个指示灯,和两个七段数码管和两片CD4511组成。

自动售货机控制系统的设计(最终版)

课程设计任务书 设计题目:饮料自动售货机PLC控制系统设计 学院:机械工程学院 专业:机械测控 班级: 姓名: 组员: 指导老师:

【摘要】 本文介绍了自动售货机的基本原理以及工作流程,然后以一次交易过程为例,把交易过程分为几个程序块,然后分别对程序块进行编程。具体说明了可编程序控制器在自动售货机中的作用。程序涉及到了自动售货机工作的绝大部分过程。利用PLC控制的自动售货机提高了系统的稳定性,保证自动售货机能够长期稳定运行。 关键词:自动售货机;可编程序控制器;梯形图 Abstract:This paper introduces the basic principles of vending machines, as well as workflow, and then to a transaction process as an example, the transaction process is divided into several blo ck, and then the block were programmed. Specify the PLC in the r ole of vending machines. Procedures related to the work of the va st majority of vending machine process. PLC control of the use of vending machines enhance the stability of the system to ensure t hat vending machines capable of long-term stable operation. Key words:Vending machines; PLC; Ladder Diagram 一.PLC的概述 1.1 PLC的产生

自动售货机控制系统设计

综合课程设计报告书 自动售货机控制系统设计 题目: 学院:机械与电气工程学院 专业:电气工程及其自动化 班级:13级2班 姓名:付少官 学号: 指导教师:崔茂齐 教师职称:讲师 2016年6月29日

机械与电气工程学院综合课程设计任务书 专业:电气工程及其自动化班级:2013-2 姓名:付少官 自动售货机控制系统设计 (1) 摘要 (1)

Abstrct (1) 1、绪论 (1) 2、自动售货控制系统设计总体方案 (2) 元器件选择 (2) 开发工具 (2) 3、自动售货控制系统硬件设计 (3) 硬件总体框图 (3) AT89C51单片机模块(介绍本次设计所到的功能) (3) (3) (4) 本次所应用的管脚说明 (4) 单片机驱动模块 (5) 选择按键模块 (5) (6) (6) 系统显示模块 (6) 数码管显示器 (6) 显示器的驱动电路 (7) 出货以及退币模块 (8) 4、自动售货控制系统软件设计 (8) 系统流程图 (8) 元器件计算 (9) 5、系统总电路图及调试仿真 (10) 总电路图 (10) 系统调试 (11) 系统仿真 (11) 总结及致谢 (14) 参考文献 (15) 附录 (16) 附录1、元件清单 (16) 附录2、源程序 (16) 附录3、自动售货机控制系统protel99se原理图 (23)

自动售货机控制系统设计 付少官 摘要本文详细介绍了自动售货机系统的方案设计、硬件选择、软件规划和编写,并重点描述了自动售货机系统的工作原理、系统设计、软件编程的原则和技巧。该系统以单片机AT89C51芯片为核心,采用集中控制方式实现了对自动售货机全过程的自动控制。本系统选择独立式键盘按键作为货物选择端,实现了投币总数的显示功能。此外,系统程序采用keil软件进行程序的编写和编译,该软件具有编程简单、查错方便、阅读容易等特点。汇编语言是程序的基本语言,具有容易理解,便于记忆和使用等特点。 关键词:自动售货机,AT89C51,LCD显示 Abstrct T his paper describes a vending machine system design, hardware selection, software planning and preparation, and describes the focus of the work vending machine system theory, system design, software programming principles and techniques. AT89C51in the system as the core chip, the realization of a centralized control of the vending machine's automatic control the entire process. Choice of the system as a stand-alone keyboard keys to choose the goods side, the realization of the coin shows the total number of features. In addition, the system program using keil software to prepare and compile procedures, the software programming is simple, convenient error checking, easy to read and so on. Assembly language is the basic language program with easy to understand, easy to remember and use and so on. Key words:Vending Machines,AT89C51,LED Display 1、绪论 自动售货机是一种全新的商业零售形式,从自动售货机的发展趋势来看,它的出现是由于劳动密集型的产业构造向技术密集型社会转变的产物。大量生产、大量消费以及消费模式和销售环境的变化,要求出现新的流通渠道;而相对的超市、百货购物中心等新的流通渠道的产生,人工费用也不断上升;再加上场地的局限性以及购物的便利性等这些因素的制约,无人自动售货机作为一种必须的机器便应运而生了。 随着科技的发展及人们生活水平的提高,自动售货机市场越来越呈现出多元化及个性化的需求。人们通过自动售货机自助购物,这种简单、快捷的方式正逐渐成为市民的一种新的消费时尚,并且满足了人们在当今科技高速发展的现代社会追求高品质生活的需要。自动售货机在城市商业区、写字楼大厅、风景区、车站、码头、繁华街道等公共场所的布设能够美化城市环境,方便群众生活,它已经成为城市各角落的一道亮丽风景线。 自动售货机必将在国内普及,并成为城市现代文明程度的一种象征性标志。本文详细介绍了如何控制、要求进行自动售货机系统的方案设计、硬件选择、软件规划和编写,并重点描述了自动售货机系统的工作原理、系统设计、软件编程的原则和技巧。

自动售货机控制系统的设计

课程设计题目:自动售货机控制系统的设计 目的与任务: (1)进一步掌握MAX+PLUSⅡ软件的使用方法; (2)会使用VHDL语言设计小型数字电路系统; (3)掌握应用MAX+PLUSⅡ软件设计电路的流程; (4)掌握自动售货机的设计方法; (5)会使用GW48实验系统。 内容和要求: 设计一个简易的自动售货机,它能够完成钱数处理、找零、显示、退币等功能。 (1)用3个键表示3种钱,再用3个键表示3种物品。 (2)用2个数码管显示输入的钱数,再用2个数码管显示所找的钱数,以元为单位。 (3)买东西时,先输入钱,用数码管显示钱数,再按物品键,若输入的钱数大于物品的价格,用数码管显示所找的钱数,并用发光二极管表示购买成功。 (4)若输入的钱数少于物品的价格,用数码管显示退出的钱数,并用发光二极管表示购买失败。

设计内容(原理图以及相关说明、调试过程、结果) 一、系统设计方案 根据系统要求,系统的组成框图如图1所示。 (1) 即可,改变设 (a2)控制模块是这个系统的核心模块,它具有判断按键、计算输入钱数总和、计算找零、控制显示四个作用。它的工作原理是每当时钟上升沿到来时,判断哪个按键按下,若按下的是钱数键,则将钱数保存于中间信号COIN,若下次按下的仍是钱数键,COIN的值则加上相应的值并显示于数码管;当物品键按下时,则将COIN的值与物品价格进行比较,然后控制找零。 (3)由于钱数可能大于9,所以译码显示模块的作用就是将钱数译码后用两个数码管显示,这样方便观察。 根据各个功能模块的功能并进行整合,可得到一个完整的自动售货机系统的整体组装设计原理图,如图2所示。 图2 设计原理图 二、系统主要VHDL源程序 (1)分频器的源程序(外部时钟选用3MHz,实现3万分频)

plc自动售货机控制系统的设计

目录 第1章自动售货机的控制工艺流程分析 (1) 1.1系统描述与控制要求 (1) 1.2控制工艺分析 (2) 第2章自动售货机的控制系统方案设计 (3) 2.1自动售货机系统的硬件组成 (3) 2.2I/O分配 (4) 2.3自动售货机控制系统外部接线图 (5) 第3章系统的流程图 (6) 第4章程序设计 (7) 4.1计币部分 (7) 4.2比较部分 (7) 4.3选择和饮料供应部分 (8) 4.4余额计算部分 (8) 4.5退币部分 (9) 4.6整体运行梯形图 (11) 第5章梯形图程序调试 (14) 课程设计心得 (15) 参考文献 (16)

第1章自动售货机的控制工艺流程分析 自动售货机是可完成无人自动售货的商业自动化设备,它不受任何场地限制,方便快捷,在我国也越来越普及。传统的自动售货机采用单片机作为控制核心,但这样在输入输出接口上消耗很大。PLC不但可实现类似控制功能,还具有可靠性高、编程简单、功能强、能耗低、调试方便等优点。本文设计的是售汽水和咖啡这两种饮料的自动饮料机,它的售货过程是:首先由顾客按下商品选择开关时,然后顾客投入的硬币(投入硬币的面值和剩余值由PLC驱动数码管显示)经过光传感器感应,再由光传感器驱动硬币识别传感器识别硬币(由于能力有限,在识别硬币上不做具体研究)。如果是无效币则使继电器打开阀门,将硬币退出;如果是真币则系统将硬币自动传送到相应的硬币贮币腔,并经硬币识别传感器将信号送给PLC。最后经顾客选择,同时PLC控制,使PLC的输出口上有相应的信号输出。若贮币腔内无硬币(红外检测器感应)、饮料的量位小于设定值(红外传感器感应)、售货机遭损,系统就报警【7】。大体运行如图1.1: 图1.1自动售货机控制系统硬件组成示意图 1.1系统描述与控制要求 PLC 控制的自动售货机系统主要有三个可以感受到投币量的传感器,六个按钮开关(分别为启动按钮、停止按钮、退币按钮、汽水选择按钮、花茶选择按钮和咖啡选择按钮)三个指示灯,(分别为汽水指示灯,花茶指示灯和咖啡指示灯),和两个七段数码管。传感器用来接收投币信号,传送投币量的多少;按钮开关分别对系统功能进行控制,当投入的钱币够某一种饮料时,按下这种饮料的选择按钮时才会有饮料送出,有剩余钱币时,还可以通过按下退币按钮来找回剩余钱币;三个指示灯分别用来只是哪种饮料可以选择,只有投币量大于或等于这种饮料的价格时,这种饮料的指示灯才会亮;同时,还有两个七段数码管,用于显示当前剩余的总钱币。具体对自动售货机的控制要求如下:

自动售货机控制系统

电子课程设计 ——自动售货机控制系统 学院:电子信息工程学院 专业、班级:通信101501 姓名:黄兆凯 学号:201015030106 指导教师:李东红 2012年12月

目录 一、设计任务与要求 (1) (1)基本要求 (1) (2)提高要求 (1) 二、总体框图 (1) (1)设计思路 (1) (2)系统原理 (1) 三、器件选择 (2) 四、功能模块 (2) (1)功能控制模块 (2) (3)输入信号处理模块 (5) 五、总体设计电路说明 (6) (1)系统仿真 (7) (2)硬件验证(操作) (8) 六、总结 (9)

自动售货机控制系统 一、设计任务与要求 (1)基本设计要求 设计一个模拟自动售货机的逻辑控制电路 1、它有两个投币口分别为一元投币口和五角投币口。 2、每次只能投入一枚一元或五角硬币。 3、投入一元五角硬币后机器自动给出一瓶矿泉水。 投入两元硬币后,在给出一瓶矿泉水的同时找回一枚五角的硬币。 (2)提高要求 1、增加一个五元投币口和出水数量选择按钮,一个一元的找钱端口。 二、总体框图 1、设计思路 根据设计要求可以对售货机的基本功能进行确定。它应该能够区分一元和五角硬币,并且能够对投入的货币进行累加。当所投币值达到商品售价。就自动送出商品。因为题目要求只有一种商品并且其售价为一元五。根据这一特殊点可设计方案如下:用两个开关分别模拟投入一元和五角硬币时产生的信号,高电平有效。用两颗LED分别模拟售出商品和找钱信号,灯灭有效。 2、系统原理 自动售货机的所有信号均由同步时钟信号clk的正边沿触发。由于售货机的硬币接受器一般是一个机械设置装置,投币时产生的信号要比同步信号clk要慢得多,一般可持续好几个周期,必须对投币输入信号进行处理,使每一次投币时在clk脉冲周期内只能产生一个脉冲作为功能控制模块的投币驱动信号。因此可将该系统分为两个模块。 如图一:

基于VHDL的自动售货机的设计与实现

自动售货机实验报告 一、设计任务 设计一个自动售货饮料机,设每瓶饮料2.5元,投币口有两个,1元硬币(one)或5角硬币(half),每个时刻可以输入其中一种硬币,自动售货机有找零钱功能,oute为出货,outm为找零。 二、设计过程 1.设计思路: 状态定义:s0表示初态,s1表示投入5角,s2表示投入1元,s3表示投入1元5角,s4表示投入2元,s5表示投入2元5角,s6表示投入3元。 输入信号:state_outputs(0)表示输入货物,comb_outputs(1)表示找5角硬币,输入信号为1 表示投入硬币,输入信号为1表示未投入硬币。 输出信号:comb_outputs(0)表示输出货物,comb_outputs(1)表示找5角零钱,输出信号为1表示输出货物或找零,输入信号为0表示不输出货物或找零。 根据设计要求分析,得到状态转化图如下图所示,其中状态为s0、S1、S2、S3、S4、S5、S6;输入为state_inputs(0,1);输出为comb_outouts(0,1);输入仅与状态有关,因此将输入写在状态圈内部。

2.设计步骤: (1)创建工程。打开QuartusII ,创建一个新的工程并命名。根据实验室条件,选择的芯片为cyclone 系列中的EP1C6Q240C8芯片。 00

输入VHDL文本文件。新建文本文件VHDL file。输入程序并保存。程序如下:library ieee; use ieee.std_logic_1164.all; entity autosell is port(clk,reset :in std_logic; state_inputs:in std_logic_vector(0 to 1); comb_outputs:out std_logic_vector(0 to 1)); end autosell; architecture be of autosell is type fsm_st is(s0,s1,s2,s3,s4,s5,s6); signal current_state,next_state:fsm_st; begin reg:process(reset,clk) begin if reset='1'then current_state<=s0; elsif rising_edge(clk)then

自动售货机的设计论文

自动售货系统 摘要:提出并实现一套基于单片机控制步进电机的自动售货系统。其主要目的是为当前的自动售货机系统提出一种可行的改进方案,扩展其功能,系统主要是由三部分组成:步进电机、51单片机、以及中间的接口电路,可以使自动售货机的功能更加完善,更加有利于自动售货机的多样化发展。 关键词:驱动电路,单片机,步进电机,OCS Abstract:Put forward and realize a set of auto selling machine system according to the single-chip microcomputer control stepper motors .Its main purpose is to put forward a kind of viable improvement project, expanding its function for current auto selling machine system .the system primarily is composed of three parts: stepper motors ,single-chip microcomputer, and connecting circuit in them which can make the function of auto selling machine more perfect, is benefit to have more diverse uses of auto selling machine. Keyword: the driving circuit, single-chip microcomputer , Office Coffee Servic e

基于PLC的自动售货机的设计说明

一、实训题目: 自动售货机控制 实训目的及要求: 1、掌握欧姆龙PLC的指令,具有独立分析和设计程序的能力 2、掌握PLC梯形图的基本设计方法 3、培养分析和解决实际工程问题的能力 4、培养程序设计及调试的能力 5、熟悉传输带控制系统的原理及要求 实训设备:PLC设备器 二、总体方案设计 自动售货机设计中,从控制角度来说可采用继电器控制、单片机控制和可编程控制器控制。 (一)方案论证 1.方案一继电器控制 它的控制思想是以继电器为核心,采用硬接线方式把各种继电器、定时器、接触器及其触点按照一定逻辑关系连接起来组成控制系统,控制相关生产机械工作。例如要通过接触器实现两台电机设备的同步运行,采用继电器控制,其控制系统简图如图1。 SF1 KF 电电 源源 母母 线线 图1 继电器控制系统简图

由图可知它的三条支路是并行工作的,当按下按钮SF1,中间继电器KF得电,KF的两个触点闭合,接触器QA1、QA2同时得电并产生动作,所以其控制逻辑关系为由继电器、接触器硬接线(硬件)方式。 2.方案二单片机控制 它的控制系统是基于芯片级的系统,设计开发一个单片机系统,需要先设计硬件系统,画硬件电路图,制作印刷电路板,购置各种所需的电子元器件,焊接电路板,进行硬件调试,进行抗干扰设计和测试的大量工作;需要使用专门的开发装置和低级编程语言编制控制程序,进行系统联调。 3.方案三可编程控制器控制 它的控制思想是采用可编程的存储器,用来在其部存储逻辑运算、顺序控制、定时、计数和算术运算等操作的指令,并通过数字式和模拟式的输入和输出,控制各种类型机械的生产过程。PLC是一种工业控制计算机,故它的工作原理是建立在计算机工作原理基础之上,即通过执行反映控制要求的用户程序来实现的,这种方案的控制功能接线图如图2。

plc自动售货机说明书

《电气控制与PLC》综合训练 说明书 专业名称:电气自动化技术 班级: 学号: 姓名: 指导教师: 日期:年月日

《电气控制与PLC》综合训练课程设计评阅书

本实验设计基于西门子S7-200系列PLC进行自动售货机控制系统的设计,阐述了自动售货机系列设计的基本原理及工作流程,按后以一次交易过程为例,把交易分成了几个程序模块,分别用PLC进行编写。文中的梯形图使用西门子PC梯形图编译软件STEP7来进行编写,并完成了PLC外部接线图。利用PLC控制的自动售货机提高了系统的稳定性,保证自动售货机能够长期稳定运行。 关键词:售货机;PLC;控制系统

1课题描述 (1) 2设计过程 (2) 2.1自动售货机的设计要求 (2) 2.2控制系统的I/O点及地址分配 (2) 2.3 PLC系统选型 (2) 2.4 PLC外围接线图 (5) 2.5系统程序设计 (6) 3总结 (9) 4参考文献 (10)

1 课题描述 自动售货机的概况 自动售货机(vending machine),能根据投入的钱币自动付货的机器。自动售货机是商业自动化的常用设备,它不受时间、地点的限制,能节省人力、方便交易。近几年,随着我国商品市场的不断繁荣和城市现代化程度的不断提高,自动售货机也已悄然步入了我国的大中城市。在国家相关政府部门的大力支持下,我国的自动售货机产业以超常规的速度迅猛发展,并带动了一批新的服务业、物流运输配送业的快速发展,丰富了我国的商业产业结构,开创了一个全新的自动销售和自助服务时代。我国自动售货机市场到2008年以后将进入发展期。 本文介绍一种能自动销售汽水和咖啡的售货机的PLC控制系统。主要从控制要求、控制系统的I/O点及地址分配、PLC系统选型、电气控制系统原理图、系统程序设计、PLC的安装、电源设计、系统的接地、PLC 输出端保护等方面来展开说明与论述。

基于s7200自动售货机PLC控制

明书 专业指导老师 姓名学号 完成日期2018年6月10号

选题四、自动售货机PLC 控制 1.工作要求: 1)此售货机可投入5 角、1 元、5 元硬币。 2)所售饮料标价:可乐——2.50 元、橙汁——3.00 元、红茶——5.50 元、咖啡——10.00 元 3)当投入的硬币和纸币总价值超过所购饮料的标价时,所有可以购买饮料的指示灯均亮,作可购买提示。(如:当投入的硬币总价值超过2.5 元,可乐按钮指示灯亮;当投入的硬币总价值超过3 元,可乐、橙汁按钮指示灯均亮;当投入的硬币总价值超过10.00 元所有饮料按钮指示灯都亮)。 4)当饮料按钮指示灯亮时,才可按下需要购买饮料的按钮,购买相应饮料,(如:当可乐按钮指示灯亮时,按可乐按钮,则可乐排出10s 后自动停止,此时可乐按钮指示灯闪烁) 5)购买饮料后,系统自动计算剩余金额,并根据剩余金额继续提示可购买饮料(指示灯亮)。 6)若投入的硬币和纸币总价值超过所消费的金额时,找余指示灯亮,按下退币按钮,就可退出多余的钱。 7)系统退币箱中只备有5 角、1 元硬币,退币时系统根据剩余金额首先退出1 元硬币, 1 元硬币用完后,所有找余为5 角硬币。 表3 自动售货机控制信号说明

课程设计报告目录一、引言 1.1自动售货机研究的背景 1.2 自动售货机国内外发展现状 1.3 本课题研究的目的及意义 1.4 研究的主要内容 二、系统总体方案设计 2.1自动售货机硬件结构 2.2 PLC选型设计 2.3硬币的识别

2.4 纸币的识别 2.5电机的选择 2.6自动售货机出货结构三、控制系统设计 3.1自动售货机工作流程图 3.2自动售货机I/O分配 3.3 PLC外部接线图 3.4 PLC程序的设计 3.4.1钱币计数系统 3.4.2商品购买系统 3.4.3 找零系统 四、系统调试及结果分析 4.1仿真软件的操作设置 4.2仿真调试结果五.参考文献 一、引言 1.1自动售货机研究的背景 自动售货机的出现是自动化社会的产物。在社会消费水平迅速提高的今天,大量的购买消费可发生在任何时间任何地点。由于时间,地理位置的限制,给人们的购物带来了不便,为了满足商业的需求方便人们的购物消费自动售货机便出现在人们的生活中,自动售货机以其占地面积小,24 小时不间断工作,后

自动售货机设计说明书2015.3.3

唐山工业职业技术学院 毕业设计说明书 题目基于PLC的自动售货机控制系统的设计 系别自动化工程系班级机电班 姓名学号 指导教师 2015年 03月02日

目录 摘要 (3) 关键字 (3) 一、概述 (4) 1.1自动售货机设计目的和意义 (4) 1.2 PLC 在自动售货控制系统中的应用 (4) 1.3自动售货机实现的基本功能 (5) 二、功能需求分析 (6) 2.1课题研究背景 (6) 2.2自动售货机的应用与现状 (7) 2.3自动售货机的PLC控制设计原理 (7) 三、具体设计 (8) 3.1 PLC各I/O地址分配 (8) 3.2 PLC的选择 (9) 3.3自动售货机设计的基本内容 (10) 3.4 流程设计 (10) 3.5 PLC的外部接线图 (12) 3.6 自动售货机设计的梯形图 (12) 3.7 MCGS组态程序设计说明 (20) 3.8 调试结果、调试中出现的问题及解决方法 (20) 总结 (21) 参考文献 (22)

标题:基于PLC的自动售货机控制系统设计 摘要 本论文设计的是基于西门子S7-200系列PLC构成的自动售货机监控系统,本文阐述了自动售货机的来源与发展,以及它在国内外发展的现状,对自动售货机的方案进行了论证,介绍了PLC的基本组成、特点以及工作原理,对基于西门子S7-200系列PLC构成的自动售货机系统整体设计过程进行较为细致的论述。 文中详尽地介绍了自动售货机的组成部分,并完成了PLC外部接线图,在PLC梯形图的编写时,文中采用的是西门子PLC梯形图专用编译软件STEP7来进行编写。介绍了自动售货机的基本原理以及工作流程,然后以一次交易过程为例,把交易过程分为几个程序块,然后分别对程序块进行编程。具体的说明了可编程控制器在自动售货机中的作用。 关键字:自动售货机程序PLC

饮料自动售货机系统的分析与设计

饮料自动售货机系统的分析与设计 1.系统的分析 1.1 需求分析描述 自动售货机像磁卡电话、银行柜员机一样,以方便、新颖、文明、昼夜服务等特点,成为便民配套设施。如今的自动售货机可以为顾客提供多种服务。顾客可以根据自己的需要选择商品并投入钱币,售货机接收钱币,售出商品。 自动售货机是一种无人售货系统。售货时,顾客投入硬币,售货机进行真假币的检查,若是假币拒绝接收,若是有效硬币则进行累加。售货机装有若干个含有相同价格货物的货物分配器。顾客可以通过货物分配器选择货物,如果有被选货物,并且顾客支付的货币值不小于货物的价格,货物将被传送给顾客,同时余额返回到退币口。如果货币值小于货物价格,则等待投币,此时如果顾客取消本次活动,那么之前所投的货币将从退币口中退出,返回给顾客。 1.2 系统功能结构图 图1 体统功能结构图 1.3 用例图 图2 饮料自动售货系统用例图 1.4 系统类图的建立 系统的类图揭示了系统的整个结构。分析时先产生问题域中的对象,再抽象出类。在本系统中,类有:自动售货机、顾客、货币、货币累加器、货物、货物分配器、显示屏、取消按钮、选择按钮、事务、更新。 图3 饮料自动售货系统类图 1.5 动态模型的建立 从系统模型的角度,静态模型定义了系统的结构和组成。任何实际的系统都是活动的,都通过系统元素间的互动来实现系统。面向对象的许多方法在建立动态模型时首先写各种情况时的交互行为脚本,通过对脚本的分析描绘动态模型。脚本是用例的一次具体执行过程,一般包括正常情况脚本和异常情况脚本。系统的卖货物用例中,顾客投入硬币,系统检查硬币的有效性,然后对有效硬币进行累加,顾客选择货物及其数量,系统送出货物;这系列步骤表示正常情况脚本。异常脚本为:顾客投入有效硬币,然后选择货物,若货物量不够,系统将投入的硬币从退币口退出。在UML中,可以利用序列图来表示脚本。下面是卖货物用例的一次具体交互的序列图。 图4 自动卖货物的顺序图 由于本系统中,自动售货机本身的状态比较复杂,因此建立其状态图。 图5 饮料自动售货机状态图 2.系统的设计 2.1 数据库设计 图6 数据库图 数据库的描述:钱币有面值和数量两种属性,其中,面值是主属性。送货员有用户名和密码两种属性,其中用户名是主属性,当添加新的送货员时,如果用户名已经存在,则会出错。饮料有类型、数量和价格三种属

自动售货机控制系统的设计

自动售货机控制系统的 设计 Pleasure Group Office【T985AB-B866SYT-B182C-BS682T-STT18】

课程设计任务书设计题目:饮料自动售货机PLC控制系统设计 学院:机械工程学院 专业:机械测控 班级: 姓名: 组员: 指导老师:

【摘要】 本文介绍了自动售货机的基本原理以及工作流程,然后以一次交易过程为例,把交易过程分为几个程序块,然后分别对程序块进行编程。具体说明了可编程序控制器在自动售货机中的作用。程序涉及到了自动售货机工作的绝大部分过程。利用PLC控制的自动售货机提高了系统的稳定性,保证自动售货机能够长期稳定运行。 关键词:自动售货机;可编程序控制器;梯形图 Abstract:Thispaperintroducesthebasicprinciplesofvending machines,aswellasworkflow,andthentoatransactionprocessasan example,thetransactionprocessisdividedintoseveralblock,and thentheblockwereprogrammed.SpecifythePLCintheroleofvending machines.Proceduresrelatedtotheworkofthevastmajorityofvend ingmachineprocess.PLCcontroloftheuseofvendingmachinesenhan cethestabilityofthesystemtoensurethatvendingmachinescapabl eoflong-termstableoperation. Keywords:Vendingmachines;PLC;LadderDiagram 一.PLC的概述 PLC的产生 20世纪20年代起,人们把各种继电器,定时器,接触器及其触点按一定的逻辑关系连接起来组成控制系统,控制各种生产机械,这就是大家所熟悉的传统继电接触器控制系统。由于它结构简单。容易掌握,价格便宜,在一定范围内能满足控制要求,因而使用面

自动售货机设计 毕业设计

摘要 自动贩卖机是能够根据投入的钱币自动付货的机器,它是商业自动化的常用设备,不受时间、地点的限制,能节省人力、方便交易。现代的自动售货机的种类、结构和功能依出售的商品而异。钱币装置是售货机的核心,其主要功能是确认投入钱币的金额,如果投入的金额达到购买物品的数值即发出售货信号,并找出余钱。自动售货机自动输出顾客所要的商品。因此,自动售货机在商业,食品行业越来越普及,更方便消费者购买自己所需的商品。使用方便、可靠、节省人力的自动售货机将在社会生活中成为一种趋势。 本文详细介绍了自动售货机系统以AT81C51芯片为核心,运用汇编语言在keil软件上进行编写、编译,在proteus上进行仿真,并通过选择独立键盘按键和LED显示,实现自动售货机的货物选择,投币显示,出货,找零等功能。本文并详细介绍了自动售货机系统的设计方案、硬件选择、软件规划和编写。重点描述了自动售货机的工作原理、系统设计和软件编程。 关键词:自动售货机;AT89C51;LCD显示;

Abstract Trades machine is automatically can pay the goods automatically according to the investment money the machine, it is the commercial automation commonly used equipment, the time, the place limit, cannot save the manpower, the convenience transaction. The modern vending machine's type, the structure and the function depend on the sell the commodity, but different. The money installment is vending machine's core, its major function is confirms the investment money the amount, if invests the amount achieves the purchase goods the value namely to send out the vending signal, and discovers the extra money. The vending machine outputs the commodity which automatically the customer wants. Therefore, the vending machine in the trade, food profession is getting more and more popular, a more convenient consumer purchases the commodity which one need. The easy to operate, reliable, the economical manpower's vending machine will become one tendency in the social life. This article introduced in detail the vending machine system take AT81C51 chip as the core, the utilization assembly language carries on the compilation, the translation on the keil software, carries on the simulation on proteus, and demonstrated through the choice independent keyboard pressed key and LED, realizes vending machine's cargo choice, throws the coin to demonstrate, produces goods, gives change and so on functions. This article and introduced the vending machine system's design proposal, the hardware select, the software plan and the compilation in detail. Described vending machine's principle of work, the system design and the software programming with emphasis. Key words: Vending Machines;AT89C51;LCD Display;

自动售货机控制系统

毕业设计(论文) 题目:PLC自动售货机控制设计专业:电气自动化 班级:07高职电气自动化<1>班学号:070705109 姓名: 叶暖暖 指导老师:方小明 徐州机电工程高等职业学校电气工程系 二〇一〇年三月

摘要 自动售货机是可完成无人自动售货的商业自动化设备,它不受任何场地限制,方便快捷,可以每天24h售货,在我国也越来越普及。传统的自动售货机采用单片机作为控制核心,但这样在输入输出接口上消耗很大。PLC不但可实现类似控制功能,还具有可靠性高、编程简单、功能强、能耗低、调试方便等优点。本款售货机是基于汽水和咖啡的自动售货设计的。 论文以三菱PLC设计自动售货机控制系统,简要介绍PLC各硬件选型,软件的设计,PLC 梯形图的编写和系统的调试方法。 关键词:自动售货机;PLC;调试

目录 摘要 0 目录 ............................................................................... II 第1章绪论.. (1) 第2章自动售货机的工艺流程 (1) 第3章自动售货机的硬件选型 (3) 3.1红外线传感器 (3) 3.2红外检测器 (3) 3.3红外传感器 (4) 3.4数码管显示 (4) 3.5敲击防盗报警器 (5) 3.6硬币识别原理 (6) 3.7硬币的贮存和退币机构 (7) 第4章自动售货机的软件设计 (7) 4.1控制要求 (7) 4.2方案设计 (8) 4.3控制接线图 (9) 4.4I/O口分配 (10) 4.5控制流程图 (10) 4.6程序设计 (11) 4.6.1计币部分 (12) 4.6.2比较部分 (12) 4.6.3选择和饮料供应部分 (13) 4.6.4余额计算部分 (13) 4.6.5退币部分 (13) 4.6.6报警部分 (15) 第5章梯形图程序调试 (15) 结束语 (16) 致谢 (17) 参考文献 (18) 附录 (19)

自动售货机说明书

多功能自动售货机 设 计 说 明 书 学校院系:晋中学院机械系 团队:奋进队 指导教师:曲晓华 学生成员:贺日升,梁红,柴森宏,李鹏 参赛方向:工业与工程设计大赛 竞赛项目:工业设计 设计时间:2013.6.20

目录 一、引言- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - (1) 二、商品送出机构- - - - - - - - - - - - - - - - - - - - - - - - -(1) 三、送出机构优化- - - - - - - - - - - - - - - - - - - - - - - - -(3) 四、设计实例- - - - - - - - - - - - - - - - - - - - - - - - - - - (6) 五、结语- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -(7) 六、参考文献- - - - - - - - - - - - - - - - - - - - - - - - - - - (7)

多功能自动售货机说明书 一、引言 自动售货机无需人看守、自动地提供商品销售服务。它作为一种便利、快捷、新型的销售模式,已经得到了人们广泛的重视和青睐,并越来越多地被应用在许多城市中。为推动经济和社会发展起到了显著的作用。自动售货机是集机、电、光于一体的商业自动化设备。根据所售商品的形状不同可分为盒式自动售货机和罐式自动售货机,而商品的送出机构是决定商品是否销售成功的最终关键。因此。本文对已有的一些送货机构进行了简要的分析,并以执行更可靠、造价成本更低、充分利用空间、设计更为合理为原则,针对商品的送出机构进行了研究和改进。 二、商品送出机构 目前,应用于自动售货机上的商品送出机构种类较多,下面是我们设计的一些较典型的送出机构: 1热牛奶槽轮输送机构: 此送出机构把罐体商品放在螺旋导轨上。并在货道底部开设出口槽。由电机带动螺旋导轨转动。当罐体转到开口槽时便出槽下货。这种送出机构要求电机的转矩较大,造价成本较高。设计的罐体送出机构主要有槽轮、推拉杆、推拉销、直线步进电机和前后两边侧板构成。其中槽轮上槽弧的半径为罐体的半径。货道宽度为罐体的直径。如下送出机构示意图1所示 图1送出机构 2.冷饮叶轮输送机构: 推拉电磁铁推动插销,叶轮被卡住的地方放开了,在瓶子的自重作用下,叶轮旋转1/4圈,最下面的瓶子滚下来,同时在叶轮的作用下又有一个瓶子在自重的作用下滚到准备处时,推拉电磁铁插上插销,卡住叶轮,使之停止转动,回到下一次工作时的状态。 1

基于PLC的饮料自动售货机控制系统设计(DOC)

毕业设计(论文) 基于PLC的饮料 自动售货机控制系统设计 专业(系)电子信息工程系 班级 学生姓名 指导老师 完成日期2014年5月

2012届毕业设计任务书 一、课题名称:基于PLC的饮料自动售货机控制系统设计 二、指导教师:谢祥洲 三、设计内容与要求 1、课题概述: 本课题采用三菱FX2N系列PLC对饮料饮料自动售货机控制系统进行设计,根据系统的设计要求对饮料自动售货机控制系统、检测系统进行分析,给出饮料自动售货机控制系统的总体设计思路,并通过I/O列表、I/O接线图、梯形图、指令表等对其控制系统进行了程序设计,控制程序经上机调试运行,其结果基本符合要求。 2、设计内容与要求: 1)分析饮料自动售货机的控制系统、检测系统,确定其控制系统的总体设计思路; 2)采用FX2N系列PLC作为饮料自动售货机控制PLC,正确选取PLC型号,设计出其控制程序。 3)控制程序上机调试。 4)所设计的饮料自动售货机控制程序,应符合饮料自动售货机运行规则。如饮料自动售货机收到识币信号后,自动进行累计、外部金额显示、顾客按键后自动推出商品和发出退币找零等信号的电控系统。 5)设计说明书要有硬件原理连接图,I/O分配图,程序梯形图、指令表及相应的说明。要求文字流畅,图形清晰,便于阅读。 四、设计参考书 1、《PLC控制系统设计与维护》刘小春华满香主编高等教育出版社 2、《流行PLC实用程序及设计(三菱FX2系列)》贺哲荣主编西安电子科技大学出版 3、《三菱FX系列PLC设计与开发--原理、应用与实训》张还主编机械工业出版社

五、设计说明书要求 1、封面 2、目录 3、内容摘要(200~400字左右,中英文) 4、引言 5、正文(设计方案原理、特点、分析、比较、论证,PLC程序的设计及调试,调试结果的说明及特点,实验结果的说明及特点) 6、结束语 7、附录(参考文献、图纸、材料清单等) 六、毕业论文要求 1、毕业设计论文要求 文字要求:文字通顺,语言流畅,排版合理,无错别字,不允许抄袭。 图纸要求:按工程制图标准制图,图面整洁,布局合理,线条粗细均匀,圆弧连接光滑,尺寸标注规范,文字注释必须使用工程字书写。 曲线图表要求:所有曲线、图表、线路图、程序框图、示意图等不准用徒手画,必须按国家规定的标准或工程要求绘制。

相关文档
相关文档 最新文档