文档库 最新最全的文档下载
当前位置:文档库 › 篮球电子记分板

篮球电子记分板

篮球电子记分板
篮球电子记分板

重庆机电职业技术学院课程设计说明书设计名称:《数字电子技术》课程设计

题目:篮球电子记分板设计

学生姓名:

专业:电气自动化技术

班级: 2009级1班

学号:

指导教师:张利国

日期: 2011 年 3 月 5 日

重庆机电职业技术学院

课程设计任务书

电气自动化专业2009 年级 1 班

一、设计题目

《篮球电子记分板设计》

二、主要内容

基本功能:

1)比分为二位十进制数,显示板由发光二极管构成(或LED数码管)。

2)使用键盘控制比分增减,可以实现1、2、3分的增减。

3)可以强制清零,可以随时输入两侧的数字。

扩展功能:

1)提供节数显示功能

2)分数改变时给与声音提示

3)能够显示球权

三、具体要求

1)查阅设计参考资料、确定设计方案

2)根据设计方案,完成各单元与整体电路的设计

3)同一小组各队员可以用不同方案完成设计也可以各自完成设计方案的一部分4)计算并选择电路各元件的参数或型号

5)利用MUL7.0以上版本进行仿真,也可以使用PSpice进行仿真

四、进度安排

1)9-10日确定题目、查阅资料

2)11-15日完成整体方案、指标的选择与设计,完成模块电路的实现方案3)16-17日完成各单元电路的设计与参数、器件选择

4)18-20日完成电路仿真与虚拟调试

5)21日-25日论文的撰写、修改、定稿

五、成绩评定

指导教师签名日期年月日

系主任审核日期年月日

目录

一、设计任务分析 (1)

二、单元电路的设计 (1)

2、1二进制加法计数器74LS161设计 (1)

2、2十进制可逆计数器74LS192引脚图管脚及功能表 (1)

2、3七段显示器 (1)

2、4七段显示译码器74LS48 (1)

2、5电路元件清单 (1)

四、仿真测试步骤及结果 (1)

五、体会及感想 (1)

一、设计任务分析

1.课程设计的目的:

(1)熟悉中规模集成可逆计数器,译码器和显示器的功能;

(2)培养创造性地运用所学知识进行数字系统设计的能力和兴趣;

(3)了解数字系统的实验及调试方法,以及一般故障的排除方法。

2.设计方案及原理

据篮球比赛情况,有得1、2、3分的情况,还有减分的情况,电路要具有加、减分显示的功能。

用两片四位二进制加法计数器74LS161组成二、三进制计数器,控制加2、3分的计数脉冲,3片十进制可逆计数器74LS192组成的加、减分计数器用于总分累加,最多可计999。译码器显示器用于显示分数。

二、设计单元电路

2、1二进制加法计数器74LS161

<74ls161引脚图>

管脚图介绍:

时钟CP和四个数据输入端P0~P3

清零/MR

使能CEP,CET

置数PE

数据输出端Q0~Q3

以及进位输出TC. (TC=Q0·Q1·Q2·Q3·CET)

①置数控制端LD:

当LD=0且无复位信号时,可以从输入端输入一个任意数并保持在芯片中,以后计数将从此数开始,此数称为预置数。如输入数1001,计数器将按下面的方式循环:

②工作状态控制端EP和ET:

当无预置数且无异步复位时,若ET=0,则电路保持原态且无进位,当ET=1时,若EP=0,则电路保持原态且有进位,若EP=1,电路为计数状态。表6.4.3为74LS161的真值表:

CP RD LD EP WT 工作状态

* 0 * * * 置0

↑ 1 0 * * 预置数

* 1 1 0 1 保持

* 1 1 * 0 保持(C=0)

↑ 1 1 1 1 计数

2、2十进制可逆计数器74LS192引脚图管脚及功能表

74LS192是同步十进制可逆计数器,它具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图所示:

74LS192的引脚排列及逻辑符号

为置数端,为加计数端,为减计数端,为非同步进位输出端,

为非

同步借位输出端,P0、P1、P2、P3为计数器输入端,为清除端,Q0、Q1、Q2、Q3

为数据输出端。 其功能表如下:

图中:

74LS192的功能表

输入 输出

MR

P 3 P 2 P 1 P 0 Q 3 Q 2 Q 1 Q

1

× × ×

×

× ×

×

0 0

0 × × d

c b

a

d

c

b a

1

1

×

×

×

×

加计数 0

1

1

×

×

×

× 减

计数

2.3七段显示器

半导体数码管是由七段发光二极管(Light Emitting Diode)组成,简称LED。

共阴共阳的判断:可以假设它是共阳的,那么任一段串入一个100欧姆电阻到5V相

应段就会被点亮;否则为共阴的数码管。

2.4七段显示译码器74LS48

7448是一种与共阴极数字显示器配

合使用的集成译码器。

74LS48是一个BCD—七段译码LED驱动器

74lLS48功能表

(1)正常译码显示。LT=1,BI/RBO=1时,对输入为十进制数l~15的二进制码(0001~1111)进行译码,产生对应的七段显示码。

(2)灭零。当LT=1,而输入为0的二进制码0000时,只有当RBI =1时,才产生0的七段显示码,如果此时输入RBI =0 ,则译码器的a~g输出全0,使显示器全灭;所以RBI称为灭零输入端。

(3)试灯。当LT=0时,无论输入怎样,a~g输出全1,数码管七段全亮。由此可以检测显示器七个发光段的好坏。 LT称为试灯输入端。

(4)特殊控制端BI/RBO。BI/RBO可以作输入端,也可以作输出端。

作输入使用时,如果BI=0时,不管其他输入端为何值,a~g均输出0,显示器全灭。因此BI称为灭灯输入端。

作输出端使用时,受控于RBI。当RBI=0,输入为0的二进制码0000时,RBO=0,用以指示该片正处于灭零状态。所以,RBO 又称为灭零输出端。

7448使用方法:

用于共阴数码管的译码电路7448内部有限流电阻,故后接数码管时不需外接限流电阻。由于7448拉电流能力小(2mA),灌电流能力大(6.4mA),所以一般都要外接电阻推动数码

三、电路元件清单

(1)74LS161三片 74LS192 三片 74LS48三片 BS201A三片EDA仿真软件-MULTISIM10

(2)电容电阻单刀双掷开关电路版导线各种焊接工具四、仿真测试步骤及结果、数据分析

原理图

仿真图

五、体会及感想

数电课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程。这次课程设计的题目是篮球比赛数字计分器。初看题目不知该如何下手,毕竟课程设计不同于实验课,电路图都要自己设计。不过还是在不断的坚持和努力之下很好的完成了这次的数字电路课程设计。通过这次的课程设计,我有很大的收获。

通过这次课程设计,加强了我们动手、思考和解决问题的能力。在整个设计过程中,我们通过这个方案包括设计了一套电路原理,和芯片上的选择。这个方案总共使用了3片74LS161,3片74LS192,3片译码器74LS48,3个数码管显示器,还有一些基本的逻辑门的芯片。

我觉得做课程设计不仅可以巩固了以前所学过的知识,由于课本上的知识太多,平时课间的学习并不能很好的理解和运用各个元件的功能,而且考试内容有限,所以在这次课程设计过程中,我们了解了很多元件的功能,并且对于其在电路中的使用有了更多的认识,而且学到了很多在书本上所没有学到过的知识。通过这次数电课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,从而提高自己的实际动手能力和独立思考的能力。认识来源于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准。全面系统的理解了编译原理程序构造的一般原理和基本实现方法,激发了学习的积极性。把学过的计算机编译原理的知识强化,能够把课堂上学的知识通过自己设计的程序表示出来,加深了对理论知识的理解。查阅参考书的独立思考的能力以及培养非常重要,我们在设计电路时,遇到很多不理解的东西,有的我们通过查阅参考书弄明白,有的通过网络查到,但由于时间和资料有限我们更多的还是独立思考。这次的课程设计是我充分认识到图书馆资源的重要性,以前都很少去图书馆查阅资料,实在是一个大的失误,以后要学会充分利用图书资源。

这次课程设计我体会最深的一点就是理论要与实际相结合,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过。程中

遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固.

篮球赛记分牌设计分析

篮球赛记分牌设计 前言 篮球是一项充满乐趣的运动,打篮球不仅可以强身健体,而且能增进队友之间的相互信任。现在篮球普及率已经很高,打篮球不仅仅是专业运动员的运动,也是普通老百姓的活动,不管你是走在学校里,还是公园里,或者是小区里,都能看到篮球场,都能看到打篮球的人,篮球已成为我们大部分人生活里不可缺少的一部分,每天都能听到有人在谈论篮球,说说自己喜欢的NBA巨星……因为喜欢篮球,所以打篮球,时不时会来场激烈的比赛,但是传统的记分方式如粉笔或记计分人员手动翻动记分牌给我们带来诸多不便。随着社会的发展、科学技术的进步以及人们生活水平的不断提高,各种电子产品开始进入人们的生活,使人们的生活更加便利。篮球赛记分牌设计的实现使得我们轻轻松松几个按钮开关就能记下分数,让我们感受到科技给我们带来的巨变。 篮球比赛的胜负是由两支队伍在规定时间里得分多少来决定。由于比赛的不可重复性和绝对的公平性,决定了篮球赛记分牌系统是一个实用性很强、可靠性要求极高的以计算机技术为核心的电子服务系统。这种设备是篮球比赛中不可或缺的一种电子设备,篮球赛记分牌系统设计是否合理,关系到整个篮球比赛系统运行的稳定和可靠,并直接影响到整个篮球比赛的顺利进行。篮球赛记分牌系统是篮球比赛的重要工程项目,是关系到比赛成败的关键工程,这种记分牌还可以运用到其他体育竞赛,如足球、羽毛球。 1 系统总体设计方案 随着单片机[1]广泛应用于各领域,许多用单片机作控制的球赛记时记分系统也随之产生,例如用单片机控制LCD液晶显示器记时记分器和用单片机控制LED七段显示器计时计分器等。 本设计根据所学的C语言基础知识和一些编程思想,对所需要的一些硬件在市场上进行了调查比较,选择了比较简单,容易实现的51单片机,结合运用C语言思想进行方案设计,使用keil uVision软件编写程序。51单片机内部的定时器/计数器进行中断可以结合软件延时实现计时。采用的硬件核心是89C51芯片,其内部采用Flash ROM,具有4KB ROM存储空间,能与3V的超低压工作,电路设计中由于不具备ISP在线编程技术,可以运用STC-ISP烧入程序。这个设计实现的功能是记下双方比分,随时显示,能够随时更改双方比分,能够调整比赛的时间,还有暂停时间,能够在双方更换比赛场地时交换双方比分,时间走完时能发出比赛终止信号。

篮球计分器程序

#include #define uint unsigned int #define uchar unsigned char uchar code table[]=".. Play ball! ...."; uchar code table1[]="Welcome to here!" ; sbit key_ST=P1^5; //功能键(开始/暂停) sbit key_A1=P1^0; //功能键(A队分数加1) sbit key_A2=P1^3; //功能键(A队分数加2) sbit key_1A=P1^6; //功能键(A队分数减1) sbit key_B1=P1^1; //功能键(B队分数加1) sbit key_B2=P1^4; //功能键(B队分数加2) sbit key_1B=P1^7; //功能键(B队分数减1) sbit key_EX=P1^2; //功能键(交换场地,A/B两队分数交换) sbit key_JS=P3^0; //功能键(比赛节数加1) sbit FMQ=P3^3; //蜂鸣器 sbit lcdrs=P3^5; //LCD显示的数据/命令选择端 sbit lcden=P3^4; //LCD显示的使能信号 uchar flag,ms,mg,ss,sg,ags,agg,bgs,bgg,num,num1,num2,js=1,C,D,t,min=0,time_fmq; int sec=12; void delayms(uint xms) //定义延时函数 { uint i,j; for(i=xms;i>0;i--) for(j=110;j>0;j--); } void write_data(uchar date) //定义为数据模式{ lcdrs=1; P0=date; delayms(5); lcden=1; delayms(5); lcden=0; } void write_com(uchar com) //定义为命令模式 { lcdrs=0; P0=com;

篮球比赛数字计分器

学年论文 (课程论文、课程设计) 题目:篮球比赛数字计分器 作者: 所在学院:信息科学与工程学院 专业年级:电信09-1 指导教师: 职称:讲师 2011年6月25日

摘要: 数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路或系统。根据数字集成电路中包含的门电路或元、器件数量,可将数字集成电路分为小规模集成(SSI)电路、中规模集成MSI电路、大规模集成(LSI)电路、超大规模集成VLSI电路和特大规模集成(ULSI)电路。 应用的仿真工具Multisim是美国国家仪器(NI)有限公司推出的以Windows 为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE 仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 对应篮球比赛规则记分的系统的要求,篮球记分有1分、2分和3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使得计数器对分数进行统计,这需要三个脉冲分路。电路要具有加分、减分及显示的功能。综合应用数字电路初步设计,Multisim仿真和DXP的初步应用 关键词: 篮球计分器设计Multisim仿真DXP 引言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。

基于proteus篮球计时计分器(史上最牛,含详细程序)

基于proteus篮球计时计分器(史上最牛,含详细程序) #include #define uchar unsigned char #define uint unsigned int #define TH_value 0xb1 #define TL_value 0xe0 //定时器工作于方式1,每20ms产生一个中断 sbit speak=P3^7; sbit ext=P3^2; sbit led=P3^4; /**********BCD码字***************/ uchar code bcd[]={ 0x00,0x01,0x02,0x03, 0x04,0x05,0x06,0x07, 0x08,0x09,0x0A,0x0B, 0x0C,0x0D,0x0E,0x0F }; /************0~9数字显示**************/ uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07,

0x7f,0x6f,0x00}; uchar munite; //比赛剩余分位数 uchar second; //比赛剩余秒位数 uchar part; //当前比赛的节次 uchar s24; //24秒标志 uchar red_mark; //红队得分 uchar blue_mark; //蓝队得分 uchar key_move; //设置位移动 uchar key_red_add; //红队得分增加1 uchar key_red_mul; //红队得分减少1 uchar key_blue_add; //蓝队得分增加1 uchar key_blue_mul; //蓝队得分减少1 uchar key_pause; //暂停 uchar key_reset; //24秒复位及进入下一节复位 uchar time_tick1,time_tick2; uchar station; //状态标志位 uchar flag; //跳亮标志位 uchar talk1,talk2,num,num1; //报警信号标志 /*******函数声明********/ void init(); void init_timer(); void init_extra(); uchar key_scan(); void scanf(uchar p,uchar tw,uchar m,uchar s,uchar r,uchar b); void scanf1(uchar p,uchar r,uchar b); void scanf2(uchar tw,uchar r,uchar b); void scanf3(uchar m,uchar r,uchar b); void scanf4(uchar s,uchar r,uchar b); void play24(); void playstop1(); void playstop2(); /*********延时程序,t=1时,延时1ms************/ void delay(uchar t) { int i,j; for(j=0;j

基于51单片机的篮球比赛电子记分牌_仿真图+程序

NEPU 课程设计 课程单片机课程设计 题目基于单片机的篮球比赛电子记分牌院系电气信息工程学院测控系 专业班级测控技术与仪器**-*班 学生XX **** 学生学号************ 指导教师**** **** 201*年* 月*日

NEPU课程设计任务书 课程单片机课程设计 题目基于单片机的篮球比赛电子记分牌 专业测控技术与仪器****学号************* 一、任务 以AT89C51单片机为控制核心,遵循篮球比赛规则,设计一款具有记录比赛分数,比赛剩余时间等基本功能的电子记分牌。 二、设计要求 [1] 要求能实时记录比赛的分数、剩余时间。 [2] 通过对AT89C51单片机的编程,实现比分和剩余时间的显示。 [3] 设计篮球24秒定时器、剩余5秒时发出提示音。 [4] 提交设计报告、电路图及程序源码。 三、参考资料 [1] 毅刚.单片机原理及应用[M].:高等教育,2004. [2] 润华,立山.模拟电子技术[J].:石油大学,2003. [3] 周润景,丽娜等.PROTEUS入门教程[M].:机械工业,2007. [4] 仕.电工电子制作基础[M].:华中科技大学,2008. [5] 介华.电子技术课程设计指导[J].:高等教育,1997. 完成期限 指导教师 专业负责人******

201*年*月**日

目录 第1章绪论1 1.1 单片机在体育赛事计分的应用1 1.2 本设计任务2 第2 章总体方案论证与设计2 2.1 显示模块2 2.2 按键控制模块3 2.3 报警模块3 2.4 总体硬件组成框图3 第3章系统硬件设计5 3.1 LCD1602显示模块的硬件设计5 3.2按键控制模块的硬件设计6 3.3 蜂鸣器报警模块的硬件设计7 3.4 单片机最小系统的硬件设计7 第4章系统的软件设计9 4.1 主程序设计9 4.2 按键控制程序设计10 4.3 蜂鸣器报警程序设计11 第5章系统调试与测试结果分析13 5.1 系统调试13

篮球记分牌verilog设计

数字逻辑设计及应用课程设计报告 姓名: 学号: 选课号:79 设计题号:23

一.设计题目 篮球比赛数字计分牌 二.设计要求 1.分别记录两队得分情况; 2.进球得分加2分,罚球进球得分加1分; 3.纠正错判得分减2分或1分; 4.分别用三个数码管显示器记录两队的得分情况。 三.设计过程 (一)设计方案 1.模块设计 题目中要用三个数码管来记录两队的得分情况,本文采用输出为8421bcd码,外接译码器和数码管的方式来实现。 先设计一个带有进位(co)和借位(ci)输出的模块pad,输出端(num)输出4位8421bcd码外接译码器和数码管,pad模块还带有加一输入端(a1),加二输入端(a2),减一输入端(d1),减二输入端(d2)。输入端与开关相接,操作者按下开关即给该端口一个脉冲信号,各输入端口由上升沿触发。如果操作者同时按下多个端口,输出端口将保持原来的信号不变。pad模块功能图见图1-1。 图1-1 pad模块输入输出端口及功能

然后将三个相同的pad模块进行级联,构造为新的模块numberpad,从而得到带有三个数码管的篮球记分牌。甲乙两队都将分别使用这个记分牌。级联图见图1-2。 图1-2 pad模块级联图 2.模块内部的算法流程 每个模块有四个输入端口a1,a2,d1,d2来进行触发,触发事件太多,因此构造rem=a1|a2|d1|d2作为新的触发信号。因此,只要a1,a2,d1,d2中任意一个按键被按下,将会发出一个脉冲,rem也就会产生一个脉冲。但是可能出现多个按键同时按下的情况,这样会产生冲突。所以在always语句块中,进行判断,看是否a1,a2,d1,d2中只有一个处于高电平,若同时处于高电平,则输出维持原来的值不变。 判断完端口a1,a2,d1,d2中哪一个输入了以后,就要进行加1,加2,减1,减2的操作。 加1分为以下情况:(1)若num已经计数到9即1001,再加1则num应变为0000,进位端co输出1;(2)若num不为9,则直接加1,co输出0。 加2分为以下情况:(1)若num已经计数到8即1000,再加2则num应变为0000,进位端co输出1;(2)num已经计数到9即1001。再加2则num应变为0001,进位端co 输出1;(3)若num不为8或9,则直接加2,co输出0/。 减1分为以下情况:(1)若num此时为0,再减1则num应变为9即1001,借位端ci 输出1;(2)若num不为0,则直接减1,ci输出0。 减2分为以下情况:(1)若num此时为0,再减2则num应变为8即1000,借位端ci 输出1;(2)若num此时为1,再减2则num应变为9即1001,借位端ci输出1;(3)若num不为0或1,则直接减2,ci输出0 算法流程图见图1-3

篮球比赛计分器设计93684

单片机课程设计报告 篮球比赛计分器设计 姓名: 学号: 专业班级: 指导老师: 所在学院: 年月日

摘要 本设计是采用单片机AT89C52作为本设计的核心元件。利用7段共阴LED 作为显示器件。在此设计中共接入了8个7段共阴LED显示器,其中4个用于记录AB队的分数,每队2个LED显示器显示范围可达到0~99分,足够满足赛程需要,另外4个LED显示器则用来记录赛程时间,其中2个用于显示分钟,2个用于显示秒钟。赛程计时采用倒计时方式。即比赛前将时间设置好,比赛开始时启动计时,直至计时到零为止。计时范围可达到0~99分钟,也完全满足实际赛程的需要。当比赛队A对得1分时,按下A+1键;得2分时,按下A+2键;得3分时,按下A+3键;当加分出现错误时,可以按A-1键减1分,可以达到调整分数的作用;依照同样的方法可以记录B对的得分。 采用单片机控制使这个系统按键操作使用简洁,LED显示,安装方便。解决了篮球比赛计分器的安装问题,节约了线材,适合在各种规模的体育场馆使用,完全可以代替传统的用钟表进行计时的方法,当然稍加改动也可以用于其他球类比赛,是体育器材向智能化发展的一个实例。 本设计可以实现:1、能记录整个赛程的比赛时间,并能修改比赛时间 2、能随时刷新甲、乙两队在整个过程中的比分 3、中场交换比赛场地时,能交换甲、乙两队比分的位置 4、比赛结束时,能发出报警声,等功能

1 概述 3 1.1设计意义 (3) 1.2设计内容 (4) 1.3设计任务和要求 (4) 2 系统总体方案及硬件设计 4 2.1AT89C52简介 (4) 2.2数码管显示原理 (6) 2.3总体方案: (7) 2.4硬件原理图: (8) 3 软件设计 7 3.1主流程图: (7) 4.PROTEUS软件仿真8 5、课程设计体会10 附1:源程序代码11 附2 系统原理图21

单片机原理及指导应用实训资料报告材料:实篮球记分牌

电子科技大学信息科技学院单片机原理及应用实训报告 题目篮球计分牌 学号0951100228 姓名锎 指导老师桂明锟志鹏 2011 年9 月23 日

1.系统设计 1.1设计要求 1.1.1.基本要求 1 设计一个基于单片机的篮球计分牌,实现两队的计分功能与24秒计时功能 2 结合硬件能够正常计分 3 能够正常显示分值。 4 能够正常修改工作模式(计分与24秒) 5 能够24秒的误差不小于1秒 1.1. 2.发挥部分 1 12分钟倒计时 2 能够两队球员犯规次数计数 3 重置24秒表 4 倒计时结束报警 5 12分钟倒计时结束红灯全部亮 1.2设计原理 1.2.1 框图设计 1.2.2 程序流程 1.2.2.1加分程序流程

1.2.2.2犯规程序流程 1.2.2.3 选择显示程序流程

1.3方案比较 1.3.1 方案1:是通过按不同的键来切换不同的输入,使用不同的LED灯的亮灭来显示不同的队加分。 电路图: 1.3.2 方案2:通过一个键来切换分数,24s倒计时,12分钟倒计时和犯规次数,通过LED灯的亮来判断是那个队加分,那个队犯规,12分钟倒计时结束。另外,给a队b队加分和加犯规次数。通过按键,选择哪种显示方式,暂停/开始24s倒计时,重置24s; 电路图:

1.4方案论证 1.4.1总体思路 7个按键实现对应功能:P1^6对应a队加分,第一个红灯亮;P1^0对应b队加分,第二个红灯亮;P1^1对应显示切换,切换有四类显示方式,第一类是a队跟b队的比分显示,且各两个数码管显示。第二类是24s倒计时的显示。第三类是12分钟倒计时的显示。第四类是a队跟b队的犯规次数显示;P1^2对应24秒倒计时暂停/开始;P1^3对应重置24s倒计时,同时24s倒计时暂停;P1^4对应a队加犯规次数,同时第三个红灯亮;P1^5对应b队加犯规次数,同时第四个红灯亮;外加复位键,按下则清零;24秒倒数为0时蜂鸣器响起警报,重新倒数时蜂鸣器关闭。12分钟倒计时结束时,蜂鸣器响起警报,红灯全亮。 1.4.2设计方案 使用了延时子程序(delay)用来按键消抖,还有定时中断程序来显示数码管,启止24s倒计时,12分钟倒计时,使用了P0口作为数码管的段选和P2口的位选,使用P1口作为按键作为输入,P3口的发光二极管作为判断a队和b队的选择和作为模式的选择部分和蜂鸣器作为倒计时24秒的计时的时间到;在主程序里面有各按键的功能,a队加分,b队加分,切换功能,重置24s功能,a队加犯规,b队加犯规; 2、模块电路的设计

篮球记分牌方案

记分牌方案设计 前言: 鉴于院里的篮球赛没有计分牌,决定做一个篮球计分牌使院篮球赛更顺利的进行。本次设计的篮球计时计分器,电路简单,而且易懂,使操作使用者使用非常方便,成本较低,灵敏可靠,计录准确,连接简单,具有非常高的实用价值。 设计任务要求: 本设计以基本篮球规则为依据,可对篮球比赛实现实时控制。设计主要为记分器部分,记分器记录两队的积累分数。两位数码管显示比分,可加可减。针对篮球比赛中有得1、2、3分,有时还会出现加分错误的情况,本人设计的简易篮球赛计分器主要通过按键实现以下功能:能够进行加1、2、3分;能够进行减1分,并通过2个数码管进行显示。 功能分析: 根据前面的设计的任务的要求,本设计任务主要具有以下几个模块:单片机模块;按键模块;数码显示模块。本设计由单片机模块部分植入主程序,通过按键部分进行加1、2、3分以及减1分操作,最终在2个LED数码管上显示结果。本系统采用单片机98S51作为本设计的核心元件。利用七段共阴极LED数码管作为显示器件。在此设计中共接入4个七段共阴极LED显示器,用于计录甲、乙两队的分数,每队2个LED 显示器显示范围可达到0~99分,足够满足赛程要求。其次,为了配合计时器和计分器校正、调整时间和比分,我们在设计中设立了个按键。用于改变甲、乙两队的分数. 关键词:单片机,计分, 报警,显示 材料: 系统硬件主要是由单片机89S51、计分显示电路和按键开关三个部分组成。 本系统在设计过程中主要选取了以下一些器件: 单片机: 89S51。 显示器件: 七段共阴LED显示器。 按键: 独立式按键。 其中1D~7D为信号输入,其中1Q~7Q为信号输出COM为公共端,GND为接地端。显示部分: 本设计显示部分采用的是7段共阳LED数码管显示,LED就是发光二极管,相对LET来说它的优势在于节能环保,保护视力,使用寿命长等优点。数码管实际上是由7个发光管组成8字形构成的,加上小数点就是8个。我们分别把他命名为A,B,C,D,E,F,G,H,如图3所示。

篮球比赛数字记分牌的设计方案

目录 1 课程设计题目`内容与要求……………………… 设计内容 具体要求 2系统设计………………………… 设计思路 系统原理 3 系统实现…………………………………………… 4 系统仿真……………………………………………5硬件验证(操作)说明……………………………… 6 总结…………………………………………………

7参考书目…………………………………………… 一、课程设计题目、内容与要求 课程设计的题目:篮球比赛记分牌 课程设计内容: 1、根据比赛实际情况记录两队得分,罚球进的1分,进球的2分; 2、记分牌要具有纠错功能,能减1分、2分功能; 3、利用3个译码显示管输出比赛的分; 二、系统设计 设计思路: 篮球比赛记分牌是记录两队比赛的得分情况,并能够进行纠错功能;根据系统设计的要求,篮球记分牌的电路原理框图如下:

系统原理与设计说明 系统各个模块的功能如下: 1、D触发器电路模块实现翻转功能当出错时,输出为1,使电路回到上一个正确的状态。 2、4为二进制全加器电路模块实现加法计数功能。 3、移位寄存器电路模块保存比赛两队得分情况的4个相邻状态,出错时将调用上一个正确状态。 4、二选一数据选择器电路模块用来控制移位寄存器 5、 LED数码管驱动电路模块 三、系统实现 各模块电路的源程序如下: 1、D触发器电路模块及程序:

set输入(Q=1),清零应该可以用复位键reset吧(Q=0)。library ieee; use entity sync_rsdff is port(d,clk : in std_logic; set : in std_logic; reset: in std_logic; q,qb : out std_logic); end sync_rsdff; architecture rtl_arc of sync_rsdff is begin process(clk) begin if (clk'event and clk='1') then if(set='0' and reset='1') then q<='1'; qb<='0'; elsif (set='1' and reset='0') then q<='0'; qb<='1'; else

数电课程设计--篮球计分器设计

《数字电子技术》课程设计题目:篮球比赛记分器设计 学院:信息科学与技术学院 专业:电子信息工程 班级:2010级(2)班 姓名:马慧2010508121 张驰2010508125 2012年7月6日

篮球比赛记分器设计 一、设计内容与要求: 设计一个篮球比赛记分显示器 基本要求: (1)电路具有加1分、加2分、加3分功能。 (2)电路具有减分功能。 (3)显示总分功能,用三位LED显示器,最高可现实999。 (4)每次篮球比赛后显示器可清零。 二、设计方案 对应篮球比赛规则的系统的要求,篮球记分有1分、2分、3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使计数器对分数进行统计,这需要三个脉冲分路。 电路要具有计分、减分及显示的功能。当球队比赛得分时,用加法计分器通过控制分路加相应的分数。如果裁判误判了,可用减法计数器减掉误判的分数。用三片计数器和三个半导体数码LED进行对分数的统计和显示。 电路框图 记分电路 加 减 置 换 二 分 脉 冲 显 示 显 示 分 数 清 零 一分脉冲三分脉冲

三、电路的设计 1、记分部分 设计要求记分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192。74LS192是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能,具体功能表如下: 故计分部分电路设计如下: 2、显示部分 数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由a、b、c、d、e、f输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而阴极分别由a、b、c、d、e、

基于单片机的篮球计分器设计毕业设计

摘要 随着电子科技、信息通信技术的快速发展,嵌入式单片机应用越来越广泛。在HMOS技术大发展的背景下,Intel公司在MCS-48系列的基础上,于1980年推出了8位MCS-51系列单片机。它与以前的机型相比,功能增强了许多,就其指令和运行速度而言,超过了INTEL8085的CPU和Z80的CPU,成为工业控制系统中较为理想的机种。较早的MCS-51典型时钟为12MHz,而目前与MCS-51单片机兼容的一些单片机的时钟频率达到40MHz甚至更高,现在已有400MHz的单片机问世。篮球记分器是一种得分记录工具,由多种电子设备组成。以单片机为核心的篮球记分器造价便宜,使用简单,体积小等特点。

第1章绪论 1.1 单片机简述 单片机就是在一块半导体硅片上集成了微处理器(cpu),存储器(RAM,ROM,EPROM)和各种输入、输出接口(定时器/计数器,并行I/O接口,串行口,A/D转换器以及脉宽调制器PWM等),这样一块集成电路芯片具有一台计算机的属性,因此被称为单片微型计算机,简称单片机。 单片机主要应用于测控领域,用以实现各种测试和控制功能。为了强调其控制属性,在国际上,多把单片机称为微控制器MCU(MicroController Unit)。单片机自20世纪70年代问世以来,以极其高的性价比受到人们的重视和关注,所以应用很广,发展很快。单片机的优点是体积小、重量轻、抗干扰能力强,对环境要求不高,价格低廉,可靠性高,灵活性好,开发较为容易。例如,80C51系列单片机已有十多年的生命期,如今仍保持着上升的趋势,就充分证明了这一点。单片机以其一系列优点,近几年得到迅猛发展和大范围推广,广泛应用于工业控制系统,数据采集系统、智能化仪器仪表,及通讯设备、日常消费类产品、玩具等。并且已经深入到工业生产的各个环节以及人民生活的各层次中,如车间流水线控制、自动化系统等、智能型家用电器等。而美国ATMEL公司开发生产了新型的8位单片机——AT89系列单片机。他不但具有一般MCS-51单片机的所有特性,而且还拥有一些独特的优点,此次设计中所用到的AT89C51就是其中典型的代表。 1.2 设计意义 单片机的应用是具有高度现实意义的。单片机极高的可靠性,微型性和智能性(我们只要编写不同的程序后就能够完成不同的控制工作),单片机已成为工业控制领域中普遍采用的智能化控制工具,已经深深地渗入到我们的日常生活当中。通过此次基于单片机设计的篮球计时计分系统,我们可以更清楚详细的了解单片机程序设计的基本指令功能、编程步骤和技巧来讲述单片机编程,并对MCS-51单片机的结构和原理进行讲述,以及基于单片机开发应用的相关芯片的工作原理,并且可以在将来的工作和学习中加以应用。

【篮球记分牌】篮球记分牌课程设计实验报告

【篮球记分牌】篮球记分牌课程设计实验报告 《【篮球记分牌】篮球记分牌课程设计实验报告》篮球记分牌课程设计实验报告 XX学校电子技术课程设计报告 题目学院自动化与电气工程学院专 业班级学号学生姓名指导教师完成日期 201X年 XX月XX日 篮球记分牌 摘要 围绕设计要求对篮球记分牌进行设计。首先根据功能要求提出单片机STC89S52RC为控制核心的设计方案;其次进行硬件系统和软件系统的设计,并且根据本次设计所用的V3.72开发板原理图进行设计,然后根据所设计的电路图级程序用Proteus和Keil 进行了仿真,验证了设计方案的正确性,最后,把程序烧进开发板中来完成篮球记分牌的硬件电路。调试和测试结果表明基本上都能满足此次课程设计的要求。本次课程设计,有效加深和巩固了单片机的基础知识,提高了我们的实际动手能力,具有及其深远的意义。 关键词:单片机独立按键蜂鸣器 at24c02 数码管 一、设计要求

1.比赛开始,比分能够全部清零,数码管前2位,末二位做记分用,当中2位做24秒倒计时用。 2.24秒倒计时时间到,蜂鸣器响、指示灯亮,重新开始倒计时(按下开始键),蜂鸣器停止鸣叫、 指示灯灭。 3.比分进行增加后,倒计时从24秒重新开始倒计时。任意时刻24秒可以重新开始倒计时。必 要时候能够关闭倒计时。 4.利用AT24C02或者单片机内部资源保存比分,支持复位保存,掉电保存功能。 二、系统设计及仿真 2.1、系统总体设计 图2-1系统结构图 思想汇报专题本系统采用单片机STC89S52RC为控制核心,系统主要包括显示模块、按键控制模块、蜂鸣器报警模块等。下面对各模块的设计进行论证。 1) 显示模块 单片机系统中,往往需要多位显示。动态显示是一种最常见的多位显示方法,应用非常广泛。所有数码管段选都连接在一起的时候,怎么让数码管显示不一样的数字呢?动态显示是多个数码管,交替显示,利用人的视觉暂停作用使人看到多个数码管同时显示的效果。

篮球计分器FPGA附程序讲课教案

篮球计分器F P G A附 程序

单片机接口实验 篮球计分器的设计 姓名: 苗茂宇 班级: 14级12班 学号: 1408202007 导师: 党选举 专业: 控制科学与工程 成绩评定 目录

摘要 (1) Abstract (1) 1. FPGA及系统设计概述 (2) 1.1. EDA简介 (2) 1.1.1. EDA定义 (2) 1.1.2. EDA发展概述 (2) 1.1.3. HDL概述 (3) 1.1.4. 总结 (4) 1.2. FPGA简介 (4) 1.2.1. FPGA概述 (4) 1.2.2. FPGA原理 (5) 1.3. LCD1602 (6) 1.4. 产品现状及发展 (7) 1.5. 总体设计要求 (8) 1.5.1. 设计流程 (8) 1.5.2. 设计要求 (8) 1.6. 本章小结 (8) 2. 实验方案设计 (9) 2.1. 分频模块 (9) 2.2. 计时模块 (10) 2.3. 24秒计时模块 (12) 2.4. 计分模块 (14) 2.5. lcd驱动模块 (15) 2.6. 本章小结 (22) 3. 实验结论 (22) 4. 结束语 (23) 参考文献 (24) 附录 1 (25)

摘要 FPGA(现场可编程逻辑器件)以其体积小、功耗低、稳定性高等优点被广泛应用于各类电子产品的设计中。FPGA是基于硬件可编程的器件,设计者需要掌握硬件描述语言,Verilog HDL就是一种硬件描述语言。Verilog HDL语言是在C语言的基础上发展而来的,语法结构上与C语言有很多相似之处,继承了C语言的语法结构,但是其与C语言有着本质上的区别。本设计是基于FPGA 的篮球计时计分系统的设计,结合篮球比赛的实际过程,对系统进行了模块化设计,最终组合调试。硬件实验表明本系统计时计分准确,实时性好,能够很好的反应比赛进度,此次设计达到了设计预期。 关键词:FPGA;Verilog HDL;计时计分;模块化 Abstract FPGA (field programmable logic device) with its small size, low power consumption, high stability has been widely used in various types of electronic products design. FPGA is a programmable device based on hardware, designers need to master the hardware description language, Verilog HDL is a hardware description language. Verilog HDL language is developed on the basis of C language, grammar structure and C language have many similarities, inherited the grammar structure of C language and C language, but there's a difference between. This design is the design of FPGA basketball timing and scoring system based on the actual process, combined with the game of basketball, the system of modular design, the final assembly debugging. Hardware experiments show that the system timing accuracy, good real-time performance, can very good response competition schedule, the design achieves the expected design. Keywords: FPGA;Verilog HDL ; timing and scoring; modular

篮球记分牌数电课程设计

数字逻辑电路 课程设计报告 院(部):信息工程学院 专业:通信工程 班级:通信二班 姓名:陈俊达 学号:20150303203 成绩: 指导老师:李海霞 开课时间:2016-2017 学年 2 学期

课程设计任务书 一、设计题目 篮球记分牌 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、要求完成的主要功能 1、自选器件,要求甲乙双方各显示为三位数(可显示至百位) 2、分别用三个按钮,给记分牌加减1、2、3分。 3、用一个开关实现加减控制 4、每次篮球比赛计分后用一个开关给系统清零,使系统复位,准备下一次 比赛实验。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成: 1、理论设计方案,演示所设计成果,总成绩20%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩30%;

4、考勤情况,占总成绩20%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。迟到20分钟按旷课处理。

篮球计分器设计毕业设计

目录 第一部分设计任务与调研 (3) 第二部分设计说明 (5) 第三部分设计成果 (10) 第四部分结束语 (15) 第五部分致谢 (17) 第六部分参考文献 (18) 第七部分附录 (19)

第一部分设计任务与调研 一、调研 体育比赛记分系统是对体育比赛过程中所产生的比分等数据进行快速采集记录,加工处理,传递利用的信息系统。根据不同运动项目的不同比赛规则要求,体育比赛的记分系统包括测量类,评分类,命中类,制胜类得分类等多种类型。 比如篮球比赛是根据运动队在规定的比赛时间里得分多少来决定胜负的,因此,篮球比赛的记分系统是一种得分类型的系统。篮球比赛的记分系统由计分器等多种电子设备组成,同时,根据目前高水平篮球比赛要求,完善的篮球比赛记分系统设备应能够与现场成绩处理,现场大屏幕,电视转播车等多种设备相联,以便实现高比赛现场感,表演娱乐观众等功能目标。 由于单片机的集成度高,功能强,通用性好,特别是它具有体积小,重量轻,能耗低,价格便宜,可靠性高,抗干扰能力强和使用方便等独特的优点,使单片机迅速得到了推广应用,目前已经成为测量控制应用系统中的优选机种和新电子产品的关键部位。世界各大电气厂家,测控技术企业,机电行业,竞相把单片机应用于产品更新,作为实现数字化,智能化的核心部件。篮球计时计分器就是以单片机为核心的计时计分系统,由计时器,计分器,综合控制器和24秒控制器等组成。 二、设计任务 (1)给甲、乙两队分别设置加分按钮,各按钮按下分别实现给甲、乙队加1~9分。 (2)给甲、乙两队分别设置减分按钮,各按钮按下分别实现给甲、乙队减1~9分。 (3)设置一个复位按钮,按下实现甲、乙队总分回到初试分及显示

基于单片机的比赛记分牌设计

课程设计(论文)说明书 题目:基于单片机的比赛记分牌设计 院(系):机电工程学院 专业:机械设计制造及其自动化 学生姓名: 学号: 指导教师: 职称: 2015年 12月 18日

摘要 本论文介绍了以AT89S52为核心控制部件,并制作成为最小系统,兼备输入信号的识别与处理与输出信号的控制;其主要功能为实现体育竞赛的简单记分功能;事先在单片机内部写入控制程序,通过用户按键,对单片机输入不同的控制信号,即可实现分数的加与减、权值的灵活调整、蜂鸣提醒、手动式计数复位以及具体数字显示等功能。整个设计流程分为硬件设计与软件设计两大部分,但本文仅着重叙述硬件设计部分。 关键字:AT89S52;单片机;记分系统 Abstract In thispaper introduces one kind of scores counting function that take the 51 single chip microcomputer as the primary control unit. The scores counting, weight changing, buzzing when number changes and display the number is the major function in this minimum system. The whole process can be divided into two different parts. The one is hardware design, and the other one is software. But the paper tends to introduce the part of hardware design. Keywords:AT89S52;MCU;Counting System

数电课设篮球计分板

沈阳航空航天大学 课程设计 (说明书) 篮球计分牌的设计 班级。 学号。 学生姓名。 指导教师。

沈阳航空航天大学 课程设计任务书 课程名称数字逻辑课程设计 课程设计题目篮球记分牌设计 课程设计的内容及要求: 一、设计说明与技术指标 设计一个篮球记分牌电路,技术指标如下: ①得分有1分,2分,3分的情况,电路具有加分、减分和显示比分功能,比分显示 用两位数码管。 ②带有24S进攻违例倒计时功能,可以进行暂停以及重新计时功能。 ③如果进攻超时,喇叭发出两秒报警声音。 二、设计要求 1.在选择器件时,应考虑成本。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 三、实验要求 1.根据技术指标制定实验方案;验证所设计的电路,用软件仿真。 2.进行实验数据处理和分析。 四、推荐参考资料 1. 童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006年 五、按照要求撰写课程设计报告

成绩评定表: 指导教师签字: 2015 年12 月30 日

一、概述 篮球记分牌用于对篮球比赛的比赛双方实时记分。基于篮球比赛的特点,我们选取了专门的设计方案,用2个LED数码管显示比赛一方的得分,记分员可根据现场比赛得分情况实时记录各队的得分,并及时反馈到LED数码管上。 本文的设计主要利用常见的74LS系列集成电路芯片和555芯片,并通过划分功能模块进行各个部分的设计,最后完成了篮球记分牌设计,设计分为三个模块,分别是比分记分模块、24S计时模块、蜂鸣器2S倒计时模块。其中比分记分模块分为1分、2分、3分加减分设置,通过计数器得到不同的波形,通过74LS192进行加减分;24S倒计时和2S 蜂鸣器倒计时则是通过时钟脉冲采用的以555定时器构成的多谐振荡电路产生,定时电路是以74LS192为主要芯片构成的,辅以数码管和蜂鸣器构成警报与计时器功能,使比赛更趋于公平化。 本次设计的内容可以完成篮球比赛的记分功能:可以分别对两队进行记分包括加分和以防裁判判别失误的减分功能还可以进行记分清零,以便于进入下一场比赛。除了记分功能还包括24S倒计时功能:真切的还原比赛场景,24S进攻违例设置,每当一队进球得分后自动清零从24S重新计时。再24S基础上外加到时间后的2S警报功能:当计时为0时,报警器会响2S停止。 图1 篮球记分牌原理图

篮球赛计时计分器课程设计

电子与电气工程学院 课程设计报告 课程名称电子技术课程设计 设计题目计分器 专业名称电子科学与技术 班级 13级 2班 学号2013210825 学生姓名唐前昆 指导教师王欢 2015年06 月02日

目录 第1章系统概述 (1) 1.1 功能简述 (1) 1.2 按钮设置 (1) 第2章总体方案设计 (2) 2.1 系统框图 (2) 2.2 软件总体设计 (2) 第3章系统硬件设计 (3) 3.1 80C51单片机 (3) 3.2 3×4矩阵式键盘 (3) 3.3 8段数码管显示器 (3) 3.4 系统原理图 (3) 第4章软件设计 (4) 4.1 主函数设计 (4) 4.2按键码获取,按键处理函数 (5) 4.3显示子函数 (6) 4.4延时子函数 (7) 第5章系统的安装调试说明 (8) 5.1 软件调试 (8) 5.2 软硬联调 (8) 总结 (9) 参考文献 (10) 附录A 系统原理图 (11) 附录B 源程序清单 (12)

第1章系统概述 1.1 功能简述 本设计内容为比赛计分器,主要用于各种体育比赛记录分数。采用矩阵式键盘作为输入,用户可分别对两队比分进行加1、加2和减1减2操作,其加减1,2分可以通过加减1分、2分的切换按钮实现,并通过指示灯显示其每次按下加减分键所加减的分值。可以实现预置分。比分通过4个8段数码管显示器进行显示,每队比分显示2位, 1.2 按钮设置 计分器应该有7个按键分别标注于原理图,见图1-1。 图1-1按钮功能图 其中1/2分切换由发光二极管指示,加1减1分别对应。预置分是事先设定分数可以分别设定甲乙两队的初始分数。按下清零后,显示的分数清零。

相关文档