文档库 最新最全的文档下载
当前位置:文档库 › 数字电路实验指导2015

数字电路实验指导2015

数字电路实验指导2015
数字电路实验指导2015

《数字电路》实验指导书

机械工程与自动化学院机械电子工程系

实验一TTL逻辑门电路的逻辑功能测试

一、实验目的

1.掌握各种TTL集成逻辑门的逻辑功能及测试方法。

2.掌握TTL器件的使用规则。

3.熟悉数字电路实验装置及使用方法。

二、实验预习内容

1.复习TTL集成逻辑门电路的工作原理。

2.熟悉实验用各个集成门引脚的功能。

3.画好实验内容的测试电路及数据记录表格。

4.阅读TTL集成电路的使用规则。

三、实验设备及器件

1.数字电路实验台

2.器件:74LS20、74LS00等

四、实验原理

逻辑门就是实现各种逻辑关系的电路,因其内部组成不同,分为TTL型(晶体管-晶体管逻辑和MOS型(金属氧化物-场效应管集成电路)。这两类门电路中使用中各有特点,但其逻辑符号和完成的逻辑功能是相同的。就TTL逻辑门电路,因其内部结构的特点为输出阻抗低、负载能力强、开关速度高等被广泛使用。

五、实验内容

1.“与非门”逻辑功能测试

在数字电路实验箱上选一块四输入端与非门74LS20,按图1接线。四个输入端分别接逻辑开关的输出插口。以提供“0”和“1”电平信号,开关向上为逻辑“1”,向下为逻辑“0”。门的输出端接LED发光二极管组成的逻辑电平显示器的显示插口。LED亮为逻辑“1”,不亮为逻辑“0”。74LS20是四输入与非门,有16个测试项,只对其中五项1111、0111、1011、1101、1110进行检测,就可判断其逻辑功能是否正常。依照表1分别测试与非门的逻辑功能。

图1 与非门管脚图、符号图

表1 与非门真值表

输入输出

A B C D Y

1 1 1 1

0 1 1 1

1 0 1 1

1 1 0 0

1 1 1 0

2.利用与非门组成其他逻辑门电路

选择二输入四与非门74LS00一片组成如下门电路并测试。

(1)组成与门电路

用74LS00中任意两个与非门组成图2所示的与门电路,输入接逻辑开关,输出接指示灯LED。拨动逻辑开关,观察指示灯的亮与灭,测试其逻辑功能,结果填入表2中。

图2 与门电路连接图

表2 与门真值表

A B Y

0 0

0 1

1 0

1 1

(2)组成或门电路

用74LS00中任选三个与非门按照图3连接线路,组成或门电路。输入接逻辑开关,输出接指示灯LED。拨动逻辑开关,观察指示灯的亮与灭,测试其逻辑功能,结果填入表3中。

图3 或门电路连接图

表3 或门真值表

A B Y

0 0

0 1

1 0

1 1

(3)组成异或门电路

用74LS00中四片与非门按照图4连接线路,组成异或门电路。输入接逻辑开关,输出接指示灯LED。拨动逻辑开关,观察指示灯的亮与灭,测试其逻辑功能,结果填入表4中。

图4 异或门电路连接图

表4 异或门真值表

A B Y

0 0

0 1

1 0

1 1

六、实验报告要求

1.整理实验数据并对其进行分析;

2.与非门不用的输入端应如何处理?

3.TTL逻辑门的主要缺点是什么?

七、集成电路芯片简介

数字电路实验中所用到的集成芯片都是双列直插式的,其引脚排列规则如图1所示。识别方法是:正对集成电路型号(如74LS20)或看标记(左边的缺口或小圆点标记),从左下角开始逆时针方向以1,2,3……依次排列到最后一脚(在左上角)。在标准形TTL集成电路中,电源端V CC一般排在左上端,接地端GND一般排在右下端。如74LS20为14脚芯片,14脚为V CC,7脚为GND。若集成芯片引脚上的功能标号为NC,则表示该引脚为空脚,与内部电路不连接。

八、TTL集成电路使用规则

1.接插集成块时,要认清定位标记,不得插反。

2.电源电压使用范围为+4.5V~+5.5ΩV之间,实验中要求使用V CC=+5V。

电源极性绝对不允许接错。

3.闲置输入端处理方法

(1)悬空,相当于正逻辑“1”,对于一般小规模集成电路的数据输入端,实验时允许悬空处理。但易受外界干扰,导致电路的逻辑功能不正常。因此,对于接有长线的输入端,中规模以上的集成电路和使用电路较多的复杂电路,所有控制输入端必须按逻辑要求接入电路,不允许悬空。

(2)直接接电源电压V CC(也可以串入一只1~10kΩ的固定电阻)或接至某一固定电压(+2.4≤V≤+4.5V)的电源上,或与输入端为接地的多余与非门的输出端相接。

(3)若前级驱动能力允许,可以与使用的输入端并联。

4.输入端通过电阻接地,电阻值的大小将直接影响电路所处的状态。当R≤680Ω时,输入端相当于逻辑“0”;当R≥4.7kΩ时,输入端相当于逻辑“1”。对于不同系列的器件,要求的阻值不同。

5.输出端不允许并联使用(集电极开路门(OC)和三态输出门电路(3S)除外)。否则不仅会使电路逻辑功能混乱,并会导致器件损坏。

6.输出端不允许直接接地或直接接+5V电源,否则将损坏器件,有时为了使后级电路获得较高的输出电平,允许输出端通过电阻R接至V CC,一般取R=3~

5.1kΩ。

实验二组合逻辑电路的设计与测试

一、实验目的

1.进一步掌握各种逻辑门电路。

2.掌握组合逻辑电路的一般设计方法。

3.熟悉组合逻辑电路的特点。

二、实验预习内容

1.复习组合逻辑电路设计的有关内容。

2.识读下列芯片74LS00、74LS20,画出引脚图。

3.查阅有关逻辑电路的设计资料。

三、实验设备及器件

1.数字电路实验台

2.万用表

3.器件:74LS00、74LS20等

四、实验原理

数字系统中的各种逻辑部件按其结构的工作原理可分为两大类。组合逻辑电路就是其中之一。其特点为:

1.从功能看,某时刻电路的输出只决定于该时刻电路的输入信号,而与电路以前的状态无关,即无“记忆”功能;

2.从器件上看,仅由门电路组成,不包含记忆元件;

3.从结构看,为单向连接,即输出、输入之间无反馈延迟通路。

组合逻辑电路的设计,主要以电路简单,器件最少为目标,具体步骤如下:(1)列表,根据实际设计要求,确定输入变量和输出变量,并按照逻辑功能建立真值表。

(2)写式,由真值表写出逻辑表达式,并化简(用逻辑代数,卡诺图)变换为所需形式。

(3)逻辑图,根据化简后的逻辑关系式画出逻辑图。

五、实验设计内容

1.设计一个半加器。要求选用“与非门”实现。(74LS00)

2.设计一个全加器。要求选用“与非门”实现。(74LS00、74LS20)

3.设计一个四人表决电路,三人以上为通过,要求选用“与非门”实现。(74LS20)

六、实验设计要求

1.按照设计内容列写设计过程三步(列表、写式、画出逻辑图);

2.根据逻辑图写明设计所用芯片型号及依据;

3.对设计的电路进行测试,记录测试结果;

4.认真写好设计体会。

实验三计数器

一、实验目的

1.学习用触发器构成计数器的方法。

2.学习集成电路的使用及测试方法。

3.进一步理解和掌握二进制和二—十进制的组成。

二、实验预习内容

表1 二进制异步加/减计数器真值表

2.集成十进制计数器74LS160功能测试

74LS160是集成是十进制计数器,具有清零和置数功能,其引脚图及符号如图2所示。用四只JK 触发器组成如图2的十进制加法计数器;RD 接逻辑开关,Q1~Q4分别接指示灯和数码显示插孔A 、B 、C 、D 、。

图2 74LS160引脚图、符号图

(1)置数、清零功能测试

A 、

B 、

C 、

D 、R D 、LD 分别接逻辑开关,CP 接单次脉冲,Q A 、Q B 、Q C 、Q D 接指示灯和数码显示插孔A 、B 、C 、D 。

置数:令R D =1,DCBA 为1010,LD=0,当CP 脉冲上升沿到来时观察数码显示,记录于表2中。

清零:令LD=1,其他端任意,然后置R D =0,观察Q A 、Q B 、Q C 、Q D 记录于表2中。

表2 置数、清零功能表

CP LD R D DCBA Q D Q C Q B Q A

↑ 0 1 X

1

(2)计数

令ET=EP=1,R D =LD=1,CP 接单次脉冲,观察Q A 、Q B 、Q C 、Q D 、及CO 的状态并记录于表3中。

数字电路课程设计实验报告1

序言 在测试、研究或调整电子电路及设备时,为测定电路的一些点参量,如测量频率响应、噪声系数,为电压表定度等,都要求提供符合所需技术要求的电信号,以模拟在实际工作中使用的待测设备的激励信号。信号发生器即由此而来,作为电子设计中常用仪器仪表,信号发生器又称信号源,可以用来产生被测电路所需特定参数的电测试信号。根据输出波形的不同,信号源可以分为正弦波信号发生器、矩形脉冲信号发生器、函数信号发生器和随机信号发生器等四大类。例如当要求进行系统的稳定性测量时,需使用振幅、波形、幅值等能在一定范围内进行精确调整,有很好的稳定性,有输出指示。基于信号发生器的广泛使用,对信号发生器设计的研究就显得非常有意义。 本课题是利用VHDL语言来实现计费功能的,VHDL具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化、结构化设计方面,表现了强大的生命力和应用潜力,因此选用VHDL语言进行编程。 本次课程设计巩固和运用了所学课程,通过理论联系实际,提高了分析、解决计算机技术实际问题的独立工作能力,通过对一个函数信号发生器的设计,进一步加深了对计算机原理以及数字电路应用技术方面的了解与认识,进一步熟悉了数字电路系统设计、制作与调试的方法和步骤。进一步了解了计算机组成原理与系统结构,使自己对EDA技术的学习更深入,学会用VHDL语言去控制将会使我们对本专业知识可以更好地掌握。 现在的信号发生器设计有以下几种方法: (1) 模拟直接合成法。这种方法充分利用了乘法器、除法器、混频器、滤波器和快速开关构成合成信号发生器,但是它的缺点是带宽不够高,性能差,构成信号发生器的电路体积比较庞大,而且功耗较高。

数字电路试验指导书

第一篇数字电路实验指导书 实验一集成逻辑门的功能测试与数字箱的使用 一、实验目的 1、了解数字实验箱的原理,掌握其使用方法 2、掌握基本门电路逻辑功能的测试方法 3、了解TTL和CMOS器件的使用特点 二、实验一起及实验器件 1、数字实验箱 2、20MHz双踪示波器 3、500型万用表 4、实验器件: 74LS00 1片CD4001 1片 74LS86 1片CD4011 1片 三、实验任务 (一)数字实验箱的使用 1、用500型万用表分别测出固定直流稳压源的出去电压值 2、用500型万用表分别测出十六路高低电平信号源和单次脉冲信号源的高低电平值,并观 察单次脉冲前后沿的变化 3、用示波器测出连续冲源的频率范围及幅度Vp-p值 4、分别用十六路高低电平信号源:单次脉冲信号源检查十六路高低电平指示灯的好坏(二)集成逻辑门的功能测试 1、分别写出74LS00,74LS86,CD4001,CD4011 1的逻辑表达式,列出其真表值,并分别 对其逻辑功能进行静态测试 2、用74LS00完成下列逻辑功能,分别写出逻辑表达式,画出逻辑图,测试其功能。 四、预习要求 1、复习数字试验箱的组成和工作原理 2、复习TTl和CMOS电路的命名,分别及使用规则 3、认真查阅实验器件的功能表和管脚图 4、列出实验任务的记录数据表格,写出实验的方法、步骤,画出实验电路 实验二集成逻辑门的参数测试 一、实验目的 1、熟悉集成逻辑门主要参数的意义 2、掌握集成逻辑门主要参数的测试方法 3、了解TTL器件和CMOS器件的使用特点 二、实验仪器与器件 1、数字实验箱 2、20MHz双踪示波器 3、500型万用表 4、实验器件:

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

数字电路实验指导书2016

***************************************************** ***************************************************** *********************************************** 数字电路 实验指导书 广东技术师范学院天河学院电气工程系

目录 实验系统概术 (3) 一、主要技术性能 (3) 二、数字电路实验系统基本组成 (4) 三、使用方法 (12) 四、故障排除 (13) 五、基本实验部分 (14) 实验一门电路逻辑功能及测试 (14) 实验二组合逻辑电路(半加器全加器及逻辑运算) (18) 实验三译码器和数据选择器 (43) 实验四触发器(一)R-S,D,J-K (22) 实验五时序电路测试及研究 (28) 实验六集成计数器161(设计) (30) 实验七555时基电路(综合) (33) 实验八四路优先判决电路(综合) (43) 附录一DSG-5B型面板图 (45) 附录二DSG-5D3型面板图 (47) 附录三常用基本逻辑单元国际符号与非国际符号对照表 (48) 附录四半导体集成电路型号命名法 (51) 附录五集成电路引脚图 (54)

实验系统概述 本实验系统是根据目前我国“数字电子技术教学大纲”的要求,配合各理工科类大专院校学生学习有关“数字基础课程,而研发的新一代实验装置。”配上Lattice公司ispls1032E可完成对复杂逻辑电路进行设计,编译和下载,即可掌握现代数字电子系统的设计方法,跨入EDA 设计的大门。 一、主要技术性能 1、电源:采用高性能、高可靠开关型稳压电源、过载保护及自动恢复功能。 输入:AC220V±10% 输出:DC5V/2A DC±12V/0.5A 2、信号源: (1)单脉冲:有两路单脉冲电路采用消抖动的R-S电路,每按一次按钮开关产生正、负脉冲各一个。 (2)连续脉冲:10路固定频率的方波1Hz、10Hz、100Hz、1KHz、10KHz、100KHz、500KHz、1MHz、5MHz、10MHz。 (3)一路连续可调频率的时钟,输出频率从1KHz~100KHz的可调方波信号。 (4)函数信号发生器 输出波形:方波、三角波、正弦波 频率范围:分四档室2HZ~20HZ、20HZ~200HZ、200HZ~2KHZ、2KHZ~20HZ。 3、16位逻辑电平开关(K0~K15)可输出“0”、“1”电平同时带有电平指示,当开关置“1”电平时,对应的指示灯亮,开关置“0”电平时,对应的指示灯灭,开关状态一目了然。 4、16位电平指示(L0~L15)由红、绿灯各16只LED及驱动电路组成。当正逻辑“1”电平输入时LED红灯点亮,反之LED绿灯点亮。

数字电路组合逻辑电路设计实验报告

数字电路组合逻辑电路设 计实验报告 The Standardization Office was revised on the afternoon of December 13, 2020

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测

出门电路的输出响应。动态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

最新数字逻辑电路指导书合集

实验一 门电路逻辑功能及测试 一.实验目的 1.熟悉门电路逻辑功能 2.熟悉数字电路学习机使用方法 二.实验仪器及材料 1.DVCC-D2JH 通用数字电路实验箱 2.器件 74LS00 二输入端四与非门 1片 74LS08 二输入端四与门 1片 74LS86 二输入端四异或门 1片 74LS32 二输入端四或门 1片 2、按附录中引脚图接线,分别验证或门74LS32、与门74LS08、异或门74LS86的逻辑功能 3、信号对门的控制作用 利用与非门控制输出.

用一片74LS00按图接线, S接任一电平开关,用发光二极管观察 S对输出脉冲的控制作用. 四.实验报告 1.按各步聚要求填表。 2.回答问题: (1)怎样判断门电路逻辑功能是否正常? (2)与非门一端输入接连续脉冲,其余端什么状态时允许脉冲通过?什么状态时禁止脉冲通过? 实验二组合逻辑电路(半加器、全加器及逻辑运算) 一、实验目的 1、掌握组合逻辑电路的功能测试 2、验证半加器和全加器的逻辑功能 二、实验器件 74LS00 二输入端四与非门1片 74LS86 二输入端四异或门1片 74LS32 二输入端四或门1片 74LS08 二输入端四与门1片 三、实验内容 1、测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或, 而进位Z是A、B相与。故半加器可用一个 集成异或门和二个与非门组成如右图 (1)在学习机上用异或门和与门接成以上电路。 A、B接电平开关Y、Z接电平显示。 (2)按下表要求改变A、B状态,填表

2、测试全加器的逻辑功能。 (1)按右图接线,A 、B 、C 接电平开关, SO 、C 接发光二极管 (2)按下表要求改变A 、B 、C 状态,填表 四、实验报告 (1)按要求填表 (2)分析如何使用适当的门电路实现半加器与全加器的功能 实验三 译码器、数据选择器和总线驱动器

数字电路实验

实验2 组合逻辑电路(半加器全加器及逻辑运算) 一、实验目的 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及材料 1.Dais或XK实验仪一台 2.万用表一台 3.器件:74LS00 三输入端四与非门3片 74LS86 三输入端四与或门1片 74LS55 四输入端双与或门1片 三、预习要求 1.预习组合逻辑电路的分析方法。 2.预习用与非门和异或门构成的半加器、全加器的工作原理。 3.学习二进制数的运算。 四、实验内容 1.组合逻辑电路功能测试。 图2-1 ⑴用2片74LS00组成图2-1所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 ⑵图中A、B、C接电平开关,Y1、Y2接发光管显示。 ⑶按表2-1要求,改变A、B、C的状态填表并写出Y1、Y2逻辑表达式。 ⑷将运算结果与实验比较。

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图2-2。 图2-2 ⑴在实验仪上用异或门和与门接成以上电路。A、B接电平开关S,Y、Z接电平显示。 ⑵按表2-2要求改变A、B状态,填表。 3.测试全加器的逻辑功能。 ⑴写出图2-3电路的逻辑表达式。 ⑵根据逻辑表达式列真值表。 ⑶根据真值表画逻辑函数SiCi的卡诺图。 图2-3 ⑷填写表2-3各点状态。

⑸按原理图选择与非门并接线进行测试,将测试结果记入表2-4,并与上表进行比较看逻辑功能是否一致。 4.测试用异或、与或和非门组成的全加器的逻辑功能。 全加器可以用两个半加器和两个与门一个或门组成,在实验中,常用一块双异或门、一个与或门和一个非门实现。 ⑴画出用异或门、与或非门和与门实现全加器的逻辑电路图,写出逻辑表达式。 ⑵找出异或门、与或非门和与门器件,按自己画出的图接线。接线时注意与或非门中不用的与门输入端接地。 ⑶当输入端Ai、Bi、Ci-1为下列情况时,用万用表测量Si和Ci的电位并将其转为逻辑状态填入表2-5。 五、实验报告 1.整理实验数据、图表并对实验结果进行分析讨论。 2.总结组合逻辑电路的分析方法。 实验3 触发器 一、实验目的 1.熟悉并掌握R-S、D、J-K触发器的构成,工作原理和功能测试方法。 2.学会正确使用触发器集成芯片。 3.了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1.双踪示波器一台 2.Dais或XK实验仪一台 3.器件74LS00 二输入端四与非门1片 74LS74 双D触发器1片 74LS112 双J-K触发器1片 二、实验内容

数字电路实验指导书

第一章单元实验 实验一逻辑门电路的研究 一、实验目的: 1.分析“门”的逻辑功能。 2.分析“门”的控制功能。 3.熟悉门电路的逻辑交换及其功能的测试方法。 二、实验使用仪器和器件: 1.数字逻辑电路学习机一台。 2.万用表一块。 三、实验内容和步骤: 1.TTL集成门逻辑功能的测试: ⑴“与非门”逻辑功能的测试: 在学习机上插入74LS10芯片,任选一个三输入端“与非门”按表1完成逻辑功能的测试(输入“1”态可悬空或接5V,“0”态接地)。 表1 ⑵用“与或非”门实现Z=AB+C的逻辑功能: 在学习机上插入74LS54芯片,做Z=AB+C逻辑功能的测试,完成表2的功能测试并记录。

表2 注意:测试前应将与或非门不用的与门组做适当处理。 2.“门”控制功能的测试: ⑴“与非”门控制功能的测试: 按图1接线,设A 为信号输入端,输入单脉冲,B 为控制端接控制逻辑电平“0”或“1”。输出端Z 接发光二极管(LED )进行状态显示,高电平时亮。按表3进行测试,总结“封门”“开门”的规律。 图1 “与非门”控制功能测试电路 表3 ⑵用“与非门”组成下列电路,并测试它们的功能

“或”门:Z=A+B “与”门:Z=AB “或非”门:Z=A+B “与或”门:Z=AB+CD 要求:画出电路图和测试记录表格,并完成逻辑功能的测试,总结控制功能的规律。 四、预习要求: 要求认真阅读实验指导书并完成要求自拟的实验电路和测试记录表格,本实验属于一般验证性实验,学生应对所有测试表的结果可预先填好,实验时只做验证,且可做到胸中有数,防止盲目性,增加自觉性。 五、实验报告要求: 总结“与非”、“与”、“或”、“或非”门的控制功能。 六、思考题: 1.为什么TTL与非门的输入端悬空则相当于输入逻辑“1”电平,CMOS与非门能否这样处理? 2.与或非门不用的与门组如何处理?

数字电路实验指导书

数字电路实验指导书 上海大学精密机械工程系2010年10月

目录 一、概述 二、实验一基本电路逻辑功能实验 三、实验二编码器实验 四、实验三寄存器实验 五、实验四译码器实验 六、实验五比较器实验 七、实验六加法器实验 八、实验七计数器实验 九、附录一数字电路实验基本知识 十、附录二常用实验器件引脚图 十一、附录三实验参考电路 十二、附录四信号定义方法与规则十三、附录五 DS2018实验平台介绍

前言 《数字电路A》课程是机电工程及自动化学院机械工程自动化专业和测控技术与仪器专业的学科基础必修课。课程介绍数字电路及控制系统的基本概念、基本原理和应用技术,使学生在数字电路方面具有一定的理论知识和实践应用能力。该课程是上海大学和上海市教委的重点课程建设项目和上海大学精品课程,课程教学内容和方式主要考虑了机械类专业对电类知识的需求特点,改变了电子专业类(如信息通信、电气自动化专业)这门课比较注重教授理论性和内部电路构成知识的方式,加强应用设计性实验,主要目的是让学生能在理论教学和实验中学会解决简单工程控制问题的基本方法和技巧,能够设计基本的实用逻辑电路。 本书是《数字电路A》的配套实验指导书,使用自行开发的控制系统设计实验箱,所有实验与课堂理论教学相结合,各实验之间相互关联,通过在实验箱上设计构建不同的数字电路功能模块,以验证理论教学中学到的各模块作用以及模块的实际设计方法。在所有功能模块设计结束后,可以将各模块连接在一起,配上输入输出装置,构成一个完整的工程控制系统。 为本课程配套的输入输出装置是颗粒糖果自动灌装控制和一维直线运动控制,颗粒糖果自动灌装系统的框图如下图所示: 颗粒糖果灌装系统框图 本套实验需要设计的功能模块包括:编码器、寄存器、译码器、比较器、加法器、计数器、光电编码器辩向处理电路、步进电机旋转控制环形分配电路等。

数字电路实验指导书

数字逻辑电路 实验指导书 师大学计算机系 2017.10 . .

数字逻辑电路实验 Digital Logic Circuits Experiments 一、实验目的要求: 数字逻辑电路实验是计算机科学与技术专业的基础实验,与数字逻辑电路理论课程同步开设(不单独设课),是理论教学的深化和补充,同时又具有较强的实践性,其目的是通过若干实验项目的学习,使学生掌握数字电子技术实验的基本方法和实验技能,培养独立分析问题和解决问题的能力。 二、实验主要容: 教学容分为基础型、综合型,设计型和研究型,教学计划分为多个层次,学生根据其专业特点和自己的能力选择实验,1~2人一组。但每个学生必须选做基础型实验,综合型实验,基础型实验的目的主要是培养学生正确使用常用电子仪器,掌握数字电路的基本测试方法。按实验课题要求,掌握设计和装接电路,科学地设计实验方法,合理地安排实验步骤的能力。掌握运用理论知识及实践经验排除故障的能力。综合型实验的目的就是培养学生初步掌握利用EDA软件的能力,并以可编程器件应用为目的,培养学生对新技术的应用能力。初步具有撰写规技术文件能力。设计型实验的目的就是培养学生综合运用已经学过的电子技术基础课程和EDA软件进行电路仿真实验的能力,并设计出一些简单的综合型系统,同时在条件许可的情况下,可开设部分研究型实验,其目的是利用先进的EDA软件进行电路仿真,结合具体的题目,采用软、硬件结合 的方式,进行复杂的数字电子系统设计。 . .

数字逻辑电路实验 实验1 门电路逻辑功能测试 实验预习 1 仔细阅读实验指导书,了解实验容和步骤。 2 复习门电路的工作原理及相应逻辑表达式。 3 熟悉所用集成电路的引线位置及各引线用途。 4 熟悉TTL门电路逻辑功能的测试。 5 了解数字逻辑综合实验装置的有关功能和使用方法。 实验目的 1 熟悉数字逻辑实验装置的有关功能和使用方法。 2 熟悉双踪示波器的有关功能和使用方法。 3 掌握门电路的逻辑功能,熟悉其外形和外引线排列。 4 学习门电路的测试方法。 实验仪器 1 综合实验装置一套 2 数字万用表一块 3 双踪示波器一台 4 器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 两输入端四异或门1片 74LS04 六反相器1片 实验原理说明 数字电路主要研究电路的输出与输入之间的逻辑关系,这种逻辑关系是由门电路的组合来实现的。门电路是数字电路的基本单元电路。门电路的输出有三种类型:图腾柱输出(一般TTL门电路)、集电极开路(OC门)输出和三态(3S)输出。它们的类型、逻辑式、逻辑符号与参考型号见表1-0。门电路的输入与输出量均为1和0两种逻辑状态。我们在实验中可以用乒乓开关的两种位置表示输入1和0两种状态,当输入端为高电平时,相应的输入端处于1位置,当输入端为低电平时,相应的输入端处于0位置。我们也可以用发光二极管的两种状态表示输出1和0两种状态,当输出端为高电平时,相应的发光二极管亮,当输出端为低电平时,相应的发光二极管不亮。我们还可以用数字万用表直接测量输出端的电压值,当电压值为3.6V左右时为高电平,表示1状态;当电压值为0.3V以下时为低电平,表示0状态。在实验中,我们可以通过测试门电路输入与输出的逻辑关系,分析和验证门电路的逻辑功能。我们实验中的集成电路芯片主要以TTL集成电路为主。 . .

数字电路组合逻辑电路设计实验报告

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测出门电路的输出响应。动

态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。 测试电路如图3-2所示。试验中A、B输入高、低电平,由数字电路实验箱中逻辑电平产生电路产生,输入F可直接插至逻辑电平只是电路的某一路进行显示。

仿真示意 2.门电路的动态逻辑功能测试 动态测试用于数字系统运行中逻辑功能的检查,测试时,电路输入串行数字信号,用示波器比较输入与输出信号波形,以此来确定电路的功能。实验时,与非门输入端A加一频率为

数字逻辑实验、知识点总结(精编文档).doc

【最新整理,下载后即可编辑】 数字逻辑实验报告、总结 专业班级:计算机科学与技术3班 学号:41112115 姓名:华葱 一、 实验目的 1. 熟悉电子集成实验箱的基本结构和基本操作 2. 通过实验进一步熟悉各种常用SSI 块和MSI 块的结构、 各管脚功能、工作原理连接方法 3. 通过实验进一步理解MSI 块的各输入使能、输出使能的 作用(存在的必要性) 4. 通过实验明确数字逻辑这门课程在计算机专业众多课 程中所处的位置,进一步明确学习计算机软硬件学习的 主线思路以及它们之间的关系学会正确学习硬件知识 的方法。 二、 实验器材 1. 集成电路实验箱 2. 导线若干 3. 14插脚、16插脚拓展板 4. 各种必要的SSI 块和MSI 块 三、 各次实验过程、内容简述 (一) 第一次实验:利用SSI 块中的门电路设计一个二进制一 位半加器 1. 实验原理:根据两个一位二进制数x 、y 相加的和与 进位的真值表,可得:和sum=x 异或y ,进位C out =x ×y 。相应电路: 2. 实验内容: a) 按电路图连接事物,检查连接无误后开启电源 b) 进行测试,令

y>={<0,0>,<0,1>,<1,0>,<1,1>},看输出位sum 和C out 的变化情况。 c) 如果输出位的变化情况与真值表所述的真值相 应,则达到实验目的。 (二) 第二次实验:全加器、74LS138译码器、74LS148编码器、 74LS85比较器的测试、使用,思考各个输入、输出使能 端的作用 1. 实验原理: a) 全加器 i. 实验原理: 在半加器的基础上除了要考虑当前两个二进制为相 加结果,还要考虑低位(前一位)对这一位的进位 问题。由于进位与当前位的运算关系仍然是和的关 系,所以新引入的低位进位端C in 应当与当前和sum 再取异或,而得到真正的和Sum ;而进位位C out 的 产生有三种情况:={<1,1,0>,<1,0,1>,<0,1,1>},也就是说当x 、y 、 C in 中当且仅当其中的两个数为1,另一个数为0的 时候C out =1,因此:C out =xy+xC in +yC in 得电路图(也 可以列出关于C in 的真值表,利用卡诺图求解C in 的 函数表达式): ii. >的8中 指,y ,C in x y C in Sum C out

数字系统设计实验三

实验四简易数字钟下载 1、实验目的 1)学习掌握数字系统综合设计方法。 2)学习掌握层次设计方法。 3)学习掌握设计下载方法。 4)学习掌握实验系统使用方法。 2、实验原理 数字钟是对输入时基秒脉冲进行计数,依次输出秒数值、分数值、小时数值,从而确定时钟时间,其原理框图如下图所示。 Image 简易数字钟原理图 简易数字钟原理图 实际的数字钟设计中还需要增加年月日的功能,这里框图中

也省略了校时功能的结构。 3、实验内容 1)选择XC2S200PQ208器件建立一个新的工程。 2)在上述工程中,采用VHDL语言的方法设计上述简易数字钟。 3)参考实验系统使用说明,按下列要求锁定引脚。秒、分钟、小时由实验系统的J1、J2输出,显示输出的时分秒间隔一位数码管。时钟输入由J7的1脚输入。 4)下载编程并验证设计结果。 4、实验设备 1)清华同方PⅣ 2.4G\256M60G 2)ISE 6.2i—Windows软件系统 3)多功能EDA实验系统(V型) 5、实验步骤 1)写出简易数字钟的设计程序。 2)画出简易数字钟的仿真波形。 3)将程序下载到芯片中。 a.首先点击菜单Assignments->Device,选择Device family->Cyclone IV E,然后选择芯片型号Available device->EP4CE6F17C8。 b.进行管脚锁定,选择菜单Assignments->Pin Planner,在Location列下为输入\输出变量选择对应的管脚进行锁定。 c.选择快捷菜单进行编译Start Compilation,生成下载文件。 d.点击Tools->Programmer e.点击Add Device,在Device->Cyclone IVE中,选择Device

数字电路模拟设计实验报告

数字电子设计 客房呼叫器 学院:通化师范学院 专业班级:物理系11级二班 小组成员:黄琳杰呼永建王继洋马一剑周建伟刘启宇郑伟清李楠楠 指导老师:李东康 时间:2013年12月3日

前言 物理实验是人们根据科学研究的目的,利用仪器设备人为地控制模拟自然现象,排除干扰突出主要因素,在有利条件下观察研究自然规律的活动。 因此,物理实验可以简化纯化研究对象和过程,可以强化研究条件,可以控制或再现和重复物理过程。物理实验有多种类型在本次试验设计中,我们采取模拟试验的方式来用数字电路设计餐厅客房服务器。 设计目的与要求 1.选用十个开关模拟某餐厅的十个包房,应用数字电路设计一个客房呼叫器。 2.用数码管显示呼叫服务的客房编号。 发挥部分:试想一下,若存在十个以上包房应如何设计。

总体框图 设计想法 1. 整体设计思路:根据对设计要求的分析,可以将整个呼叫器的逻辑电路划分为编码器,代码转换电路和数码显示电路三个部分。 2. 整体设计流程: a 编码器将客房给出的开关输入编成对应的二进制代码 b 代码转换电路将编码器输出的编码转换为七段显示译码器在显示时所要求的输入代码。 c 用一个数码管显示呼叫信号的号码。

选择器件 74ls147优先编码器管脚图和功能真值表 优先编码器是当多个输入端同时有信号时,电路只对其中优先级别最高的输入信号进行编码,常用的集成优先编码器IC有10线-4线,8线-3线两种。10线-4线优先编码器常见的型号为54/74LS147,54/74147, 3线-8线优先编码器常见的型号为54/74148,54/74LS148. 下面我们以74ls147为例介绍优先编码器功能如图一所示 第九个脚NC为空74ls147优先编码器有九个输入端和四个输出端,某个输入端为0,代表输入某个十进制数当九个输入端全为1时,代表输入的十进制数为0. 74ls147优先编码器的输入端和输出端都是低电平有效即某一个输入端低电平0时,四个输出端就以低电平0的输出其对应的8421 BCD编码,当九个输入全为1时,4个输出也全为1呆比啊输入十进制数0的8421 BCD编码输出。

数字电路实验大纲

数字电路实验课程教学大纲 一、课程的基本信息 适应对象:电子科学与技术电子信息工程通信工程 课程代码:AAD00813 学时分配:16 赋予学分:1 先修课程:电路分析低频电子线路 后续课程:信号系统单片机原理与接口技术 二、课程性质与任务 数字电路实验为专业基础实验,面向电子信息工程、电子科学与技术、通信工程专业开设的独立设置的实验课程及课内实验。通过本课程的学习使学生进一步掌握常用仪器的使用,并掌握数字电路基本知识、常用芯片的功能及参数以及中、大规模器件的应用,掌握组合逻辑电路和时序逻辑电路的设计方法。同时通过学习,可以培养学生独立思考、独立解决问题的能力,加强动手能力的培养,使学生掌握数字电路的设计方法。 三、教学目的与要求 本课程是一门集理论与实践与一体的课程。学生通过本课程的学习,能够掌握各种基本逻辑门电路的结构和功能;掌握各种组合逻辑电路的分析和设计方法;熟悉常用的触发器,并会对常用的时序电路进行分析;对较复杂的数字系统的分析方法能有所了解;掌握各种电子电路和系统的测试方法和技能。 四、教学内容与安排 实验项目设置与内容提要

虚拟实验项目设置与内容提要 五、教学设备和设施 DZX-1 电子学综合实验装置示波器数字电路虚拟实验系统 六、课程考核与评估 实验成绩由虚拟实验成绩、平时实验成绩和考核成绩组成,虚拟实验成绩占20%,平时实验成绩占50%,考核成绩占30%。平时实验成绩由实验操作成绩和实验报告成绩组成,实验操作成绩占平时实验成绩的70%;实验报告成绩占平时实验成绩的30%。实验操作主要考察学生对实验电路的设计难易程度、电路连接调试、问题解决的能力,是否能够达到设计要求;实验报告主要考察学生对实验涉及的理论知识的掌握,对实验得到的结论和现象是否能够正确理解和分析,并能够合理的解释实验中出现的问题,正确判断实验的成功、失败。

数字电路实验指导书选样本

实验一基本门电路 实验类型: 验证 实验类别: 专业主干课 实验学时: 3 所属课程: 数字电子技术 一、实验目的 ( 1) 熟悉常见门电路的逻辑功能; ( 2) 学会利用门电路构成简单的逻辑电路。 二、实验要求: 集成逻辑门电路是最简单、最基本的数字集成元件, 任何复杂的组合电路和时序电路都可用逻辑门经过适当的组合连接而成。本实验要求熟悉74LS00、 74LS02、 74LS86的逻辑功能, 需要查阅集成块的引角图, 并能够利用它们构成简单的组合逻辑电路, 写出设计方案。 三、实验仪器设备及材料 数字电路实验箱 1台; 74LS00、 74LS02、 74LS86各一块 四、实验方案 1、 TTL与非门逻辑功能测试 将四2输入与非门74LS00插入数字电路实验箱面板 的IC插座上, 任选其中一与非门。输入端分别输入不 同的逻辑电平( 由逻辑开关控制) , 输出端接至 LED”电平显示”输入端。观察LED亮灭, 并记录对应 的逻辑状态。按图1-1接线, 检查无误方可通电。 图1-1 表1-1 74LS00逻辑功能表

2、 TTL或非门、异或门逻辑功能测试 分别选取四2输入或非门74LS02、四2输入异或门74LS86中的任一门电路, 测试其逻辑功能, 功能表自拟。 3、若要实现Y=A′, 74LS00、 74LS02、 74LS86将如何连接, 分别画出其实验连线图, 并验证其逻辑功能。 4、用四2输入与非门74LS00实现与或门Y=AB+CD的功能。画出实验连线图, 并验证其逻辑功能。 五、考核形式 检查预习情况占30%, 操作占40%, 实验报告占30%。 六、实验报告 主要内容包括, 对实验步骤, 实验数据、实验中的特殊现象、实验操作的成败、实验的关键点等内容进行整理、解释、分析总结, 回答思考题, 提出实验结论或提出自己的看法等。 七、思考题 如何处理各种门电路的多余输入端?

《数字电路设计实训》实验指导书

数字电路设计实训实验指导书 编写人:许一男 审核人:金永镐 延边大学工学院 电子信息通信学科

目录 一、基础实验部分 实验一门电路逻辑功能及测试 (1) 实验二组合逻辑电路(半加器、全加器及逻辑运算) (5) 实验三 R-S,D,JK触发器 (9) 实验四三态输出触发器,锁存器 (12) 实验五集成计数器及寄存器 (15) 实验六译码器和数据选择器 (18) 实验七 555时基电路 (21) 二、选做实验部分 实验八时序电路测试机研究 (26) 实验九时序电路应用 (29) 实验十四路优先判决电路 (31) 三、创新系列(数字集成电路设计)实验部分 实验十一全加器的模块化程序设计与测试 (33) 实验十二串行进位加法器的模块化程序设计与测试 (35) 实验十三 N选1选择器的模块化程序设计与测试 (36)

实验一门电路逻辑功能及测试 一、实验目的 1. 熟悉门电路逻辑功能 2. 熟悉数字电路学习机及示波器使用方法 二、实验仪器及材料 1. 双踪示波器 2. 器件 74LS00 二输入端四与非门 2片 74LS20 四输入端双与非门 1片 74LS86 二输入端四异或门 1片 74LS04 六反相器 1片 三、预习要求 1. 复习门电路工作原理及相应逻辑表达式。 2. 熟悉所用集成电路的引线位置及引线用途。 3. 了解双踪示波器的使用方法。 四、实验容 实验前按学习机使用说明先检查学习机电源是否正 常,然后选择实验用的集成电路,按自己设计的实验 电路图接好连线,特别注意Vcc及接地线不能接错。 线接好后经实验指导教师检查无误方可通电实验。实 验中改动接线需先断开电源,接好线后再通电实验。 1. 测试门电路逻辑功能图1.1 (1)选用四输入与非门74LS20一只,插入面包板,按图1.1接线,输入端 接S 1~S 4 (电平开关输出端口),输出端接电平显示发光二极管(D 1 ~D 8 任意一个)。 (2)将电平开关按表1.1置位,分别测输出电压及逻辑状态。 表1.1

数字电路及设计实验

常用数字仪表的使用 实验内容: 1.参考“仪器操作指南”之“DS1000操作演示”,熟悉示数字波器的使用。 2.测试示波器校正信号如下参数:(请注意该信号测试时将耦合方式设置为直流耦合。 峰峰值(Vpp),最大值(Vmax),最小值(Vmin), 幅值(Vamp),周期(Prd),频率(Freq) 顶端值(Vtop),底端值(Vbase),过冲(Overshoot), 预冲(Preshoot),平均值(Average),均方根值(Vrms),即有效值 上升时间(RiseTime),下降时间(FallTime),正脉宽(+Width), 负脉宽(-Width),正占空比(+Duty),负占空比(-Duty)等参数。 3.TTL输出高电平>2.4V,输出低电平<0.4V。在室温下,一般输出高电平是3.5V,输出低 电平是0.2V。最小输入高电平和低电平:输入高电平>=2.0V,输入低电平<=0.8V。 请采用函数信号发生器输出一个TTL信号,要求满足如下条件: ①输出高电平为3.5V,低电平为0V的一个方波信号; ②信号频率1000Hz; 在示波器上观测该信号并记录波形数据。

集成逻辑门测试(含4个实验项目) (本实验内容选作) 一、实验目的 (1)深刻理解集成逻辑门主要参数的含义和功能。 (2)熟悉TTL 与非门和CMOS 或非门主要参数的测试方法,并通过功能测试判断器件好坏。 二、实验设备与器件 本实验设备与器件分别是: 实验设备:自制数字实验平台、双踪示波器、直流稳压电源、数字频率计、数字万用表及工具; 实验器件:74LS20两片,CC4001一片,500Ω左右电阻和10k Ω左右电阻各一只。 三、实验项目 1.TTL 与非门逻辑功能测试 按表1-1的要求测74LS20逻辑功能,将测试结果填入与非门功能测试表中(测试F=1、0时,V OH 与V OL 的值)。 2.TTL 与非门直流参数的测试 测试时取电源电压V CC =5V ;注意电流表档次,所选量程应大于器件电参数规范值。 (1)导通电源电流I CCL 。测试条件:输入端均悬空,输出端空载。测试电路按图1-1(a )连接。 (2)低电平输入电流I iL 。测试条件:被测输入端通过电流表接地,其余输入端悬空,输出空载。测试电路按图1-1(b )连接。 (3)高电平输入电流I iH 。测试条件:被测输入端通过电流表接电源(电压V CC ),其余输入端均接地,输出空载。测试电路按图1-1(c )连接。 (4)电压传输特性。测试电路按图1-2连接。按表1-2所列各输入电压值逐点进行测量,各输入电压值通过调节电位器W 取得。将测试结果在表1-2中记录,并根据实测数据,做出电压传输特性曲线。然后,从曲线上读出V OH ,V OL ,V on ,V off 和V T ,并计算V NH ,V NL 等参数。 表1-1 与非门功能测试表

数字电子技术实验指导书

数字电子技术实验指导书 (学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截

相关文档
相关文档 最新文档