文档库 最新最全的文档下载
当前位置:文档库 › 通信系统设计报告概论

通信系统设计报告概论

通信系统设计报告概论
通信系统设计报告概论

通信系统课程设计报告

题目:模拟线性调制系统的

建模、设计与计算机仿真分析

学院xx

专业班级xx

学生姓名xx

学生学号xx

提交日期 2015.6.28

目录

1 设计目的 (2)

2 设计要求和设计指标 (2)

3 设计内容 (2)

3.1线性调制的一般原理 (2)

3.2常规双边带调制AM (3)

3.2.1 AM调制工作原理 (3)

3.2.2 AM调制解调仿真电路 (4)

3.2.3 AM调制解调仿真结果与分析 (5)

3.3双边带调制DSB (7)

3.3.1 DSB调制解调工作原理 (7)

3.3.2 DSB调制解调仿真电路 (8)

3.3.3 DSB调制解调仿真结果与分析 (9)

3.4单边带调制SSB (11)

3.4.1 SSB调制解调工作原理 (11)

3.4.2 SSB调制解调仿真电路 (13)

3.4.3 SSB调制解调仿真结果与分析 (13)

4 本设计改进建议 (16)

5 总结 (16)

参考文献 (16)

2 设计目的

(1)使学生掌握系统各功能模块的基本工作原理;

(2)培养学生掌握电路设计的基本思路和方法;

(3)能提高学生对所学理论知识的理解能力;

(4)能提高和挖掘学生对所学知识的实际应用能力即创新能力;

(5)提高学生的科技论文写作能力。

2 设计要求和设计指标

(1)学习SystemView仿真软件;

(2)对需要仿真的通信系统各功能模块的工作原理进行分析;

(3)提出系统的设计方案,选用合适的模块;

(4)对所设计系统进行仿真;

(5)并对仿真结果进行分析。

3 设计内容

3.1 线性调制的一般原理

模拟调制系统可分为线性调制和非线性调制,本课程设计只研究线性调制系统的设计与仿真。线性调制系统中,常用的方法有AM 调制,DSB 调制,SSB 调制。

线性调制的一般原理:

载波:)cos()(0?ω+=t A t s c

调制信号:)cos()()(0?ω+=t t Am t s c m

式中()t m —基带信号。

线性调制器的一般模型如图3-1

在该模型中,适当选择带通滤波器的冲击响应()t h ,便可以得到各种线性调制信号。

线性解调器的一般模型如图3-2。

图3-2线性解调系统的一般模型

其中()t s m —已调信号,()t n —信道加性高斯白噪声。

3.2 常规双边带调制AM

3.2.1 AM 调制工作原理

(1)调制原理

如果输入基带信号()t m 含直流分量,则它可以表示为0m 与()t m '之和,其中,0m 是()t m 的直流分量,()t m '是表示消息变化的交流分量,且假设()t h 也是理想带通滤波器的冲激响应,如果满足max 0)(t m m '>,则信号为调幅(AM )信号,其时域表示形式为: ()()()00cos cos cos m c c c s t m m t t m t m t t ωωω''=+=+????

其对应的频域表示式为: ''1

02()[()()][()()]

m c c c c S m M M ωπδωωδωωωωωω=-+++-++

式中 ''()()M m t ω?。 (2)解调原理

通常AM 信号可以用相干解调(同步检测)和非相干解调(包络检波)两种方法进行解调。由AM 信号的频谱可知,如果将已调信号的频谱搬回到原点位置,即可得到原始的调制信号频谱,从而恢复出原始信号。解调中的频谱搬移同样可用调制时的相乘运算来实现。将已调信号乘上一个与调制器同频同相的载波,可得

21

100022()cos()[()]cos [()][()]cos 2AM c c c s t t A m t t A m t A m t t

ωωω?=+=+++ 由上式可知,只要用一个低通滤波器,就可以将第1项与第2项分离,无失真的恢复出原始的调制信号:

1

02[()]

A m t + 本设计采用了相干解调的方法进行解调,其原理框图如图3-3所示。

图3-3 相干解调原理框图

3.2.2 AM调制解调仿真电路

根据以上AM信号的调制与解调原理,用system view仿真的电路图如图3-4所示。

图3-4 AM调制解调仿真电路

具体设计参数为:

Token12、14:正弦载波信号,幅度为1V,频率为300HZ;

Token1:增益为2;

Token2、9:乘法器;

Token6、7:加法器;

Token4:正弦基带信号,幅度为1V,频率10HZ;

Token10:低通滤波器,截止频率为12HZ,极点数为3。

采样频率=3000HZ,采样点数=1024。

3.2.3 AM调制解调仿真结果与分析

仿真后的波形如图3-5所示:

图3-5(a)载波信号

图3-5(b)基带信号

图3-5(c)AM已调信号

图3-5(d)AM解调信号

图3-5 AM调制解调波形图

其中基带信号频谱、已调信号频谱及解调后信号频谱如下图3-6所示:

图3-6(a)载波信号频谱图

图3-6(b)基带信号频谱图

图3-6(c)AM已调信号频谱图

图3-6(d)AM解调信号频谱图

图3-6 频谱比较图

分析:AM调制为线性调制的一种,由图3-5可以看出,在波形上,已调信号的幅值随基带信号变化而呈正比地变化;由图3-6可以看出,在频谱结构上,它完全是基带信号频谱结构在频域内的简单搬移。用相干解调法解调出来的信号与基带信号基本一致,实现了无失真传输。

3.3 双边带调制DSB

3.3.1 DSB 调制解调工作原理

(1)调制原理

在图3-1中,如果输入的基带信号没有直流分量,且()h t 是理想的带通滤波器,则该基带信号与载波相乘就得到双边带信号(DSB 信号),或称双边带抑制载波信号。其表达式为

()()cos m c s t m t t ω=

(2)解调原理

DSB 信号只能用相干解调的方法进行解调,DSB 信号的解调模型与AM 信号相干解调时完全相同。此时,乘法器输出为:

211

22()cos ()cos ()()cos 2DSB c c c s t t m t t m t m t t ωωω?==+ 经低通滤波器滤除高次项,得

1

2()()o m t m t = 即无失真地恢复出了基带信号。

3.3.2 DSB 调制解调仿真电路

根据以上DSB 信号的调制与解调原理,用system view 仿真的电路图如图7所示。

图3-7 DSB调制解调仿真电路图

具体设计参数为:

Token12、14:正弦载波信号,幅度为1V,频率为300HZ; Token15:增益为0;Token2、9:乘法器;

Token16、7:加法器;

Token4:正弦基带信号,幅度为1V,频率10HZ; Token10:低通滤波器,截止频率为12HZ,极点数为3。采样频率=3000HZ,采样点数=1024。

3.3.3 DSB调制解调仿真结果与分析

仿真后的波形如图3-8所示:

图3-8(a)载波信号

图3-8(b)基带信号

图3-8(c)DSB已调信号

图3-8(d)DSB解调信号

图3-8 DSB调制解调波形图

其中解调后信号频谱、已调信号频谱及基带信号频谱如下图3-9所示:

图3-9(a)载波信号频谱图

通信系统综合设计报告——光照强度监测系统设计

目录 第一章概述 (2) 第一节课题背景与意义 (2) 第二节课题设计要求与指标 (2) 第二章系统方案选择与确定 (3) 第一节硬件系统方案选择 (3) 一、光照采集模块方案选择 (3) 二、无线传输模块方案选择 (3) 三、 LCD显示模块方案选择 (4) 四、 MCU模块方案选择 (4) 第二节软件系统方案选择 (4) 第三章系统硬件设计与实现 (6) 第一节采集端硬件设计 (6) 一、光照采集模块设计 (7) 二、ATmega16L最小系统模块设计 (8) 三、无线传输模块设计 (9) 第二节终端硬件设计 (10) 一、LCD显示模块设计 (11) 二、变压电路设计 (12) 第四章系统软件设计与实现 (13) 第一节程序整体设计 (13) 第二节光照采集与AD转换程序设计 (13) 第三节无线传输程序设计 (14) 第四节LCD显示程序设计 (16) 第五节程序下载 (17) 第四章测试结果及讨论 (18) 第一节LCD显示测试 (18) 第二节光照采集与显示测试 (19) 心得体会 (21) 参考文献 (22) 附录 (23) 一、器件清单 (23) 二、工具清单 (23) 三、实物图 (24) 四、程序代码 (24)

第一章概述 第一节课题背景与意义 在现代农业和工业领域,经常需要对一些环境参数进行监测,以做出相应处理,确保设备和系统运行在最佳状态。随着科技的发展,对环境参数监测系统的要求也越来越高;因此基于传感器、单片机和无线通信芯片设计出一种无线环境参数监测系统十分的重要。 光照强度是一个重要的环境参数,在工业和农业领域有着重要的应用,本课程设计介绍一种可以应用在许多领域的无线光照强度监测系统,实现对环境中的光照强度进行实时采集处理、无线传输与显示的功能。 本文的主要研究工作集中在光照强度监测系统的设计上,通过C语言编程对单片机进行控制,使单片机控制光照采集传感器、无线通信芯片和LCD,实现系统功能。在本课题的基础上可以设计完成一个高速、方便、稳定的环境数据监测采集和传输系统,可以广泛应用于现代农业和工业领域。 第二节课题设计要求与指标 本系统以环境光照强度为研究对象,应满足的要求与指标为: 1、监测点光照强度测量精确,精度大于0.1lux; 2、将监测点的参数数据以无线方式发送至汇节点,并LCD显示,要求分立元件实现的无线传输距离大于20cm,无线传输模块实现的传输距离大于1km; 3、无线传输设备具有较强的抗干扰能力; 4、设备具有较高的实时性; 5、设备功耗功耗较低。

通讯录管理系统课程设计报告完整版

通讯录管理系统课程设 计报告 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

设计课题题目 一、课程设计目的与要求 1.课程设计目的 (1)综合运用之前所学知识(选择控制,循环控制,数组,函数,指针,结构体和文件等)来完成一个简单的信息管理程序的设计。 (2)充分体现和体会函数在程序设计中的必要性和实用性,并反映主函数main ()在程序设计中的实现思路和方法。 2. 课程设计要求 制作一个通讯录系统。 (1)该程序具有查找、添加、修改、删除功能。 (2)通讯录包括:姓名、电话、街道、城市、省、邮编等。 二、总体设计 根据系统的要求,系统总体设计如图1所示。 1

printf("\t--------------------\n"); printf("\t请您选择(0-7):"); scanf("%d",&c); }while(c>7&&c<0); return(c); } int Input(struct date per[10],int n) { int i=0; char sign,x[10]; while(sign!='n'&&sign!='N') { printf("\t姓名:"); scanf("%s",per[n+i].name); printf("\t电话号码:"); scanf("%s",per[n+i].phone); printf("\t电子邮箱:"); scanf("%s",per[n+i].email); printf("\tQQ:"); scanf("%s",per[n+i].QQ); gets(x); printf("\n\t是否继续添加?(Y/N)"); fflush(stdin); ame,per[i-1].phone,per[i-1].QQ,per[i-1].email); if(i>1&&i%10==0) { printf("\t-----------------------------------\n"); printf("\t"); system("pause"); printf("\t-----------------------------------\n"); } } printf("----------------------------------------------------------------------\n"); system("pause"); } int Delete_a_record(struct date per[10],int n) { char s[20]; int i=0,j; printf("\t请输入想删除记录中的名字:"); scanf("%s",s); while(strcmp(per[i].name,s)!=0&&i

通信综合实训系统实验报告

通信综合实训系统实验 (程控交换系统实验) 学生姓名 学号 专业班级通信工程班 指导老师 年月日

实验1 局内呼叫处理实验 一、实验目的 1. 通过对模拟用户的呼叫追踪,加深对程控交换机呼叫处理过程的理解; 2. 掌握程控交换机配置数据的意义及原理; 3. 根据设计要求,完成对程控交换机本局数据的配置。 二、实验内容 1.学习ZXJ10 程控交换机本局数据配置方法; 2.模拟用户动态跟踪,深入分析交换机呼叫流程; 3.按照实验指导书的步骤配置本局数据,电话号码7000000~7000023 分配到ASLC 板 卡的0~23 端口,并用7000000 拨打7000001 电话,按照实验指导书方法创建模拟用 户呼叫跟踪,观察呼叫动态迁移,理解单模块呼叫流程。 4.本局数据配置需要配置如下: 局信息配置 局容量数据配置 交换局配置 物理配置 号码管理、号码分析 三、实验仪器 程控交换机 1 套 维护终端若干 电话机若干四、实验步骤 (一)、启动后台维护控制中心 启动程控交换机网管终端计算机,点击桌面快捷方式的,启动后的维护控制中心如下图2-1(利用众友开发软件CCTS可省略该步骤): (二)、启动操作维护台 选中后台维护系统控制中心,单击右键,选中【启动操作维护平台】, 出现如下的对话框,输入操作员名【SYSTEM】, 口令为空,单击【确定】后,将会登陆操作维护系统。

(三)、告警局配置 打开“系统维护(C)”---- “告警局配置(B)”,点击“局信息配置(B)”后,弹出如下界面。 输入该局的区号532,局号 1 ,然后点击【写库】。 (四)、局容量数据配置 打开【基本数据管理】-【局容量数据配置】, 点击后弹出如下操作界面(分别进行全局容量、各模块容量进行规划设置),点击【全局规划】,出现如下的对话框. 点击【全部使用建议值】, 当前值自动填上系统默认的数值,点击【确定】后返回容量规划界面,点击【增加】, 模块号 2 ,MP内存128 ,普通外围、远端交换模块,填写完,点击【全部使用建议值】。 (五)、交换局配置 在后台维护系统打开[数据管理→基本数据管理→交换局配置]弹出如下的对话框,按照 图示,只填写【本交换局】-【交换局配置数据】,点击设置。 (六)、物理配置 在后台维护系统打开[数据管理→基本数据管理→物理配置]: 1. 新增模块 点击【新增模块】,填完模块号,选中紧凑型外围交换模块,点击确定,返回开始的对话 框。

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

通信系统课程设计

课程设计任务书 学生姓名:周全专业班级:信息sy0901 指导教师:刘新华工作单位:信息工程学院 题目:通信系统课群综合训练与设计 初始条件:MA TLAB 软件,电脑,通信原理知识 要求完成的主要任务: 1、利用仿真软件(如Matlab或SystemView),或硬件实验系统平台上设计 完成一个典型的通信系统 2、学生要完成整个系统各环节以及整个系统的仿真,最终在接收端或者精 确或者近似地再现输入(信源),计算失真度,并且分析原因。 时间安排: 指导教师签名: 2013 年 1 月 1 1日 系主任(或责任教师)签名: 2013 年 1 月 11 日

目录 摘要 (2) Abstract (3) 1设计任务 (4) 2实验原理分析 (5) 2.1 PCM原理介绍 (5) 2.1.1 抽样(Sampling) (5) 2.1.2 量化(quantizing) (5) 3. 基带传输HDB3码 (12) 4.信道传输码汉明码 (14) 5.PSK调制解调原理 (15) 6. AWGN(加性高斯白噪声) (18) 7.仿真结果 (19) 8.心得体会 (23) 9.参考文献 (24) 附录 (25)

摘要 通信系统是一个十分复杂的系统,在具体实现上有多种多样的方法,但总的过程却是具有共性的。对于一个模拟信号数字化传输,过程可分为数字化,信源编解码,信道编解码,调制解调,加扰等。本实验利用MATLAB实现了PCM编码,HDB3码,汉明码,psk调制,AWGN及对应的解调过程,完整实现了一个通信系统的全部过程。MATLAB是由美国mathworks公司发布的主要面对科学计算、可视化以及交互式程序设计的高科技计算环境。它将数值分析、矩阵计算、科学数据可视化以及非线性动态系统的建模和仿真等诸多强大功能集成在一个易于使用的视窗环境中,为科学研究、工程设计以及必须进行有效数值计算的众多科学领域提供了一种全面的解决方案,并在很大程度上摆脱了传统非交互式程序设计语言(如C、Fortran)的编辑模式,代表了当今国际科学计算软件的先进水平。 关键字:通信系统,调制,解调,matlab

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

铁路专用通信设备

铁路专用通信设备 1.GSM-R GSM-R机车综合无线通信设备 GSM-R是专门为铁路通信设计的综合专用数字移动通信系统,它基于GSM的基础设施及其提供的语音调度业务(ASCI),其中包含增强的多优先级预占和强拆(eMLPP)、语音组呼(VGCS)和语音广播(VBS),并提供铁路特有的调度业务,包括:功能寻址、功能号表示、接入矩阵和基于位置的寻址;并以此作为信息化平台,使铁路部门用户可以在此信息平台上开发各种铁路应用,GSM-R的业务模型可以概括为: GSM-R业务 = GSM业务 + 语音调度业务 + 铁路应用 HY-473库检电台 HY-473库检电台用于机车出入库时对机车综合无线通信设备(简称CIR)进行功能定性检测,以保证机车上线运行时CIR正常工作。机车综合无线通信库检设备可以工作在GPRS或450MHz工作模式,可对450MHz机车台、GSM-R功能、800MHz预警进行功能检测。系统由计算机、打印机、测试模块集、天馈线、测试控制软件组成。其中测试模块集可由GSM-R模块、录音单元、控制单元、450M模块、800M模块组成。 2.无线列调系统 调度总机 调度总机是列车无线调度通信系统中的地面固定设备,设置在调度所,通过四线制有线线路与车站台连接。 车站电台 B制式车站台是专门为铁路车站设计的通信设备。该设备采用了最新技术,操作简便,具有很多的专用功能。 便携式车站电台

便携式车站设备,主要用于与机车电台、车站电台及手持台进行通话。便携台可通过内置电池供电(电池容量为12安时),在无外接电源的情况下,可保证正常工作8小时以上,电池电量不足时有声光提示;便携台可用专用的外接充电电源对内置电池充电,电池充满后充电器有相应提示。此外,便携台还设有按键及指示灯,便于测试和使用。 通用机车台 本电台是通用式无线列调机车电台,它兼容B、C制式机车台的所有工作模式。安装在列车机车上,供司机使用。可用于机车与调度、车站、其它机车、车长之间通信联系。利用GPS全球卫星定位系统,按机车的运行位置,适时控制机车电台的通信方式的变更,使之改变到与地面通信设备一致的工作模式上,从而实现与地面通信设备正常通信的目的。当机车在GPS的弱场区(如山区或隧道内)运行时,不能通过GPS定位来进行工作模式的切换,该电台可以通过人工选择通信模式,保证机车可以与地面通信设备进行正常通信。 3.列调系统测试设备 调度命令出入库检测设备 调度命令出入库检测设备是用于铁路列车无线调度系统中对机车调度命令进行出/入库检测的装置。安装在机车入库点的附近,对机车的调度命令进行地面检测和车上检测,将检测的结果反馈给计算机在屏幕上显示出来,并存储该结果。管理人员可以按时间、机车号查询或统计数据,并可以打印、导出数据。 HY464-2型监测总机 该设备用于铁路无线列调系统,通过有线线路对调度区段内的车站台、中继器和调度总机进行监测,并将监测结果显示在CRT屏幕上或通过打印机进行打印。该设备可对四个区段内的车站台、中继器和调度总机进行监测,分为人工监测和自动监测两种方式。

即时通讯系统的设计与实现毕业设计论文

毕业论文声明 本人郑重声明: 1.此毕业论文是本人在指导教师指导下独立进行研究取得的成果。除了特别加以标注地方外,本文不包含他人或其它机构已经发表或撰写过的研究成果。对本文研究做出重要贡献的个人与集体均已在文中作了明确标明。本人完全意识到本声明的法律结果由本人承担。 2.本人完全了解学校、学院有关保留、使用学位论文的规定,同意学校与学院保留并向国家有关部门或机构送交此论文的复印件和电子版,允许此文被查阅和借阅。本人授权大学学院可以将此文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本文。 3.若在大学学院毕业论文审查小组复审中,发现本文有抄袭,一切后果均由本人承担,与毕业论文指导老师无关。 4.本人所呈交的毕业论文,是在指导老师的指导下独立进行研究所取得的成果。论文中凡引用他人已经发布或未发表的成果、数据、观点等,均已明确注明出处。论文中已经注明引用的内容外,不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究成果做出重要贡献的个人和集体,均已在论文中已明确的方式标明。 学位论文作者(签名): 年月

关于毕业论文使用授权的声明 本人在指导老师的指导下所完成的论文及相关的资料(包括图纸、实验记录、原始数据、实物照片、图片、录音带、设计手稿等),知识产权归属华北电力大学。本人完全了解大学有关保存,使用毕业论文的规定。同意学校保存或向国家有关部门或机构送交论文的纸质版或电子版,允许论文被查阅或借阅。本人授权大学可以将本毕业论文的全部或部分内容编入有关数据库进行检索,可以采用任何复制手段保存或编汇本毕业论文。如果发表相关成果,一定征得指导教师同意,且第一署名单位为大学。本人毕业后使用毕业论文或与该论文直接相关的学术论文或成果时,第一署名单位仍然为大学。本人完全了解大学关于收集、保存、使用学位论文的规定,同意如下各项内容:按照学校要求提交学位论文的印刷本和电子版本;学校有权保存学位论文的印刷本和电子版,并采用影印、缩印、扫描、数字化或其它手段保存或汇编本学位论文;学校有权提供目录检索以及提供本学位论文全文或者部分的阅览服务;学校有权按有关规定向国家有关部门或者机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入学校有关数据 库和收录到《中国学位论文全文数据库》进行信息服务。在不以赢利为目的的前提下,学校可以适当复制论文的部分或全部内容用于学术活动。 论文作者签名:日期: 指导教师签名:日期:

数字通信系统设计实验报告

实验1:用 Verilog HDL 程序实现乘法器 1实验要求: (1) 编写乘法器的 Veirlog HDL 程序. (2) 编写配套的测试基准. (3) 通过 QuartusII 编译下载到目标 FPGA器件中进行验证 (4) 注意乘法逻辑电路的设计. 2 试验程序: Module multiplier(input rst,input clk,input [3:0]multiplicand, input [3:0]multiplier,input start_sig,output done_sig,output [7:0]result); reg [3:0]i; reg [7:0]r_result; reg r_done_sig; reg [7:0]intermediate; always @ ( posedge clk or negedge rst ) if( !rst ) begin i<=4'b0; r_result<=8'b0; end else if(start_sig) begin case(i) 0: begin intermediate<={4'b0,multiplicand}; r_result<=8'b0; i<=i+1; end 1,2,3,4: begin if(multiplier[i-1]) begin r_result<=r_result+intermediate; end intermediate<={intermediate[6:0],1'b0}; i<=i+1; end 5: begin r_done_sig<=1'b1;

i<=i+1; end 6: begin r_done_sig<=1'b0; i<=1'b0; end endcase end assign result=r_done_sig?r_result:8'bz; assign done_sig=r_done_sig; endmodule3 测试基准: `timescale 1 ps/ 1 ps module multiplier_simulation(); reg clk; reg rst; reg [3:0]multiplicand; reg [3:0]multiplier; reg start_sig; wire done_sig; wire [7:0]result; /***********************************/ initial begin rst = 0; #10; rst = 1; clk = 1; forever #10 clk = ~clk; end /***********************************/ multiplier U1 ( .clk(clk), .rst(rst), .multiplicand(multiplicand), .multiplier(multiplier), .result(result), .done_sig(done_sig), .start_sig(start_sig) ); reg [3:0]i; always @ ( posedge clk or negedge rst ) if( !rst )

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

通信联络系统设计方案[001]

矿井通信联络系统技术方案 一、为满足本矿高效率协调等一级调度模式要求,计划建设生产调度通信网的有线通信系统,实现录音、强拆、强插、全呼、组呼、直通、一键直拨等调度功能,该项目要求总调度室可直接通过调度台控制其系统内的所有内部用户,使得总调能和各地点之间进行实现通话、强插、录音等。本次系统项目主要应注意总调(调度中心)与各地点通信设备的对接问题,以及设备间互相通话及在紧急状态下强插各生产岗位电话发布紧急命令。 二、规范性引用文件 B/T 2887 电子计算机场地通用规范; GB 3836.1 爆炸性气体环境用电气设备第1部分:通用要求 GB 3836.2 爆炸性气体环境用电气设备第2部分:隔爆型“d” GB 3836.3 爆炸性气体环境用电气设备第3部分:增安型“e” GB 3836.4 爆炸性气体环境用电气设备第4部分:本质安全型“i” GB/T 17626.3-1998 电磁兼容试验和测量技术射频电磁场辐射抗扰度试验(idt IEC 61000-4-3:1995) GB/T 17626.4-1998 电磁兼容试验和测量技术电快速瞬变脉冲群抗扰度试验(idt IEC 61000-4-4:1995) GB/T 17626.5-1999 电磁兼容试验和测量技术浪涌(冲击)抗扰度试验(idt IEC 61000-4-5:1995) MT 209-90 煤矿通信,检测,控制用电工电子产品通用技术要求 MT 210-90 煤矿通信,检测,控制用电工电子产品基本试验方法 MT 211-90 煤矿通信、检测、控制用电工电子产品质量检测规则 MT 286 煤矿通信、自动化产品型号编制方法和管理办法 MT 401 煤矿生产调度通信系统通用技术条件 MT/T 722-1998 煤矿监控主要性能测试方法 MT/T 899 煤矿用信息传输装置 三、术语和定义

通信系统设计报告

通信系统课程设计报告 题目:模拟线性调制系统的 建模、设计与计算机仿真分析 学院xx 专业班级xx 学生姓名xx 学生学号xx 提交日期 2015.6.28

目录 1 设计目的 (2) 2 设计要求和设计指标 (2) 3 设计内容 (3) 3.1线性调制的一般原理 (3) 3.2常规双边带调制AM (4) 3.2.1 AM调制工作原理 (4) 3.2.2 AM调制解调仿真电路 (5) 3.2.3 AM调制解调仿真结果与分析 (5) 3.3双边带调制DSB (9) 3.3.1 DSB调制解调工作原理 (9) 3.3.2 DSB调制解调仿真电路 (9) 3.3.3 DSB调制解调仿真结果与分析 (10) 3.4单边带调制SSB (14) 3.4.1 SSB调制解调工作原理 (14) 3.4.2 SSB调制解调仿真电路 (15) 3.4.3 SSB调制解调仿真结果与分析 (16) 4 本设计改进建议 (19) 5 总结 (19)

参考文献 (20) 2 设计目的 (1)使学生掌握系统各功能模块的基本工作原理; (2)培养学生掌握电路设计的基本思路和方法; (3)能提高学生对所学理论知识的理解能力; (4)能提高和挖掘学生对所学知识的实际应用能力即创新能力; (5)提高学生的科技论文写作能力。 2 设计要求和设计指标 (1)学习SystemView仿真软件; (2)对需要仿真的通信系统各功能模块的工作原理进行分析; (3)提出系统的设计方案,选用合适的模块; (4)对所设计系统进行仿真; (5)并对仿真结果进行分析。

3 设计内容 3.1 线性调制的一般原理 模拟调制系统可分为线性调制和非线性调制,本课程设计只研究线性调制系统的设计与仿真。线性调制系统中,常用的方法有AM 调制,DSB 调制,SSB 调制。 线性调制的一般原理: 载波:)cos()(0?ω+=t A t s c 调制信号:)cos()()(0?ω+=t t Am t s c m 式中()t m —基带信号。 线性调制器的一般模型如图3-1 在该模型中,适当选择带通滤波器的冲击响应()t h ,便可以得到各种线性调制信号。 线性解调器的一般模型如图3-2。 图3-2线性解调系统的一般模型 其中()t s m —已调信号,()t n —信道加性高斯白噪声。

杭电通信系统课程设计报告实验报告

通信系统课程设计实验报告 XX:田昕煜 学号:13081405 班级:通信四班 班级号:13083414 基于FSK调制的PC机通信电路设计

一、目的、容与要求 目的: 掌握用FSK调制和解调实现数据通信的方法,掌握FSK调制和解调电路中相关模块的设计方法。初步体验从事通信产品研发的过程. 课程设计任务:设计并制作能实现全双工FSK调制解调器电路,掌握用Orcad Pspice、Protel99se进行系统设计及电路仿真。 要求:合理设计各个电路,尽量使仿真时的频率响应和其他参数达到设计要求。尽量选择符合标称值的元器件构成电路,正确完成电路调试。 二、总体方案设计 信号调制过程如下: 调制数据由信号发生器产生(电平为TTL,波特率不超过9600Baud),送入电平/幅度调整电路完成电平的变换,再经过锁相环(CD4046),产生两个频率信号分别为30kHz和40kHz(发“1”时产生30kHz方波,发“0”时产生40kHz方波),再经过低通滤波器2,变成平滑的正弦波,最后通过线圈实现单端到差分信号的转换。

信号的解调过程如下: 首先经过带通滤波器1,滤除带外噪声,实现信号的提取。在本设计中FSK 信号的解调方式是过零检测法。所以还要经过比较器使正弦信号变成方波,再经过微分、整流电路和低通滤波器1实现信号的解调,最后经过比较器使解调信号成为TTL电平。在示波器上会看到接收数据和发送数据是一致的。 各主要电路模块作用: 电平/幅度调整电路:完成TTL电平到VCO控制电压的调整; VCO电路:在控制电压作用下,产生30KHz和40KHz方波; 低通2:把30KHz、40KHz方波滤成正弦波; 线圈:完成单端信号和差分信号的相互转换; 带通1:对带外信号抑制,完成带信号的提取; 限放电路:正弦波整形成方波,同时保留了过零点的信息; 微分、整流、脉冲形成电路:完成信号过零点的提取; 低通1:提取基带信号,实现初步解调; 比较器:把初步解调后的信号转换成TTL电平 三、单元电路设计原理与仿真分析 (1)带通1(4阶带通)-- 接收滤波器(对带外信号抑制,完成带信号的提取) 要求通带:26KHz—46KHz,通带波动3dB; 阻带截止频率:fc=75KHz时,要求衰减大于10dB。经分析,二级四阶巴特沃斯带通滤波器来提取信号。 具体数值和电路见图1仿真结果见图2。

通信系统课程设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 通信系统课群综合训练与设计 初始条件:MATLAB 软件,电脑,通信原理知识 要求完成的主要任务: 1、利用仿真软件(如Matlab或SystemView),或硬件实验系统平台上设计完 成一个典型的通信系统 2、学生要完成整个系统各环节以及整个系统的仿真,最终在接收端或者精确或 者近似地再现输入(信源),计算失真度,并且分析原因。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) Abstract (4) 1.引言 (1) 1.1通信系统简介 (1) 1.2 Matlab简介 (1) 2.系统设计 (2) 2.1通信系统原理 (2) 2.2 系统整体设计 (3) 3.子系统设计 (4) 3.1脉冲编码调制(PCM) (4) 3.1.1抽样(Samping) (5) 3.1.2量化(Quantizing) (5) 3.1.3编码(Coding) (6) 3.2 Manchester码编解码 (7) 3.2.1曼切斯特编码原理 (8) 3.2.2曼切斯特解码原理 (8) 3.3循环码编解码 (9) 3.3.1循环码编码原理 (10) 3.3.2循环码解码原理 (11) 3.3.3纠错能力 (11)

3.4 ASK调制与解调 (12) 3.5 衰落信道 (13) 4软件设计及结果分析 (14) 4.1 编程工具的选择 (14) 4.2 软件设计方案 (14) 4.3 编码与调试 (15) 4.4 运行结果及分析 (16) 5心得体会 (21) 参考文献 (21) 附录 (22) 摘要 在数字通信系统中,需要将输入的数字序列映射为信号波形在信道中传输,此时信源输出数字序列,经过信号映射后成为适于信道传输的数字调制信号,并在接收端对应进行解调恢复出原始信号。本论文主要研究了数字信号的传输的基本概念及数字信号传输的传输过程和如何用MATLAB软件仿真设计数字传输系统。首先介绍了本课题的理论依据,包括数字通信,数字基带传输系统的组成及

通信系统仿真实验报告(DOC)

通信系统实验报告——基于SystemView的仿真实验 班级: 学号: 姓名: 时间:

目录 实验一、模拟调制系统设计分析 -------------------------3 一、实验内容-------------------------------------------3 二、实验要求-------------------------------------------3 三、实验原理-------------------------------------------3 四、实验步骤与结果-------------------------------------4 五、实验心得------------------------------------------10 实验二、模拟信号的数字传输系统设计分析------------11 一、实验内容------------------------------------------11 二、实验要求------------------------------------------11 三、实验原理------------------------------------------11 四、实验步骤与结果------------------------------------12 五、实验心得------------------------------------------16 实验三、数字载波通信系统设计分析------------------17 一、实验内容------------------------------------------17 二、实验要求------------------------------------------17 三、实验原理------------------------------------------17 四、实验步骤与结果------------------------------------18 五、实验心得------------------------------------------27

数字系统设计软件实验报告

实验一QuartusⅡ9.1软件的使用 一、实验目的: 1、通过实现书上的例子,掌握QUARTUSII9.1软件的使用; 2、编程实现3-8译码电路以掌握VHDL组合逻辑的设计以及QUARTUSII9.1软件的使用。 二、实验流程: 1、仔细阅读书上的操作指南,学会在QuartusⅡ9.1中创建新的工程,创建过程如下所示: 1)、建立新设计项目: ①启动QuartusⅡ9.1软件,在软件的管理器窗口选File下拉菜单,即File→New Project Wizard,则出现新建工程向导窗口。如下所示: ②点击Next按钮,将弹出新建工程设置窗口,如下图所示。在新建工程设置窗口中设置好工程的存放路径、工程名称等。

③点击Next进入添加文件窗口,如下图。由于尚未创建文件,跳过该步骤。 ④点击Next按钮,进入选择目标芯片窗口。在这里我们选择Cyclone系列的EP1C6Q240C8,如下图:

⑤点击Next按钮,进入EDA工具设置窗口,通常选择默认的“None”,表示选择QuartusⅡ自带的仿真器和综合器。如下图: ⑥点击Next按钮,弹出New Project Wizard概要对话框,在这个窗口中列出了所有前面设置的结果。若有错误则点击Back回去修改,否则点击Finish结束,即完成新工程的设定工作。如下图:

2)、文本设计输入: ①在QuartusⅡ主界面菜单栏中选择File下拉菜单中的New,弹出新建设计文件窗口,选择VHDL File项,点击OK按钮即可打开VHDL文本编辑窗口,其默认文件名为“Vhdl.vhd”。 ②出现文本编辑窗口后,我们可以直接在空白界面中键入所设计的VHDL文本。这时我们将书本中的程序输入到文本编辑环境中去。程序如下: library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity count10 is port(clk,load,en:in std_logic; data_in:in std_logic_vector(3 downto 0); seg:out std_logic_vector(6 downto 0)); end count10; architecture beha of count10 is signal qout:std_logic_vector(3 downto 0); signal q_temp:std_logic_vector(3 downto 0); begin process(clk,load) begin

时分复用通信系统设计

目录 第一章摘要 (1) 第二章总体设计原理 (2) 2.1 PCM编码原理 (2) 2.2 PCM原理框图 (3) 2.3 时分复用原理 (4) 第三章单元电路的设计 (6) 3.1信号源系统模块 (6) 3.2 PCM编码器模块 (7) 3.3帧同步模块 (9) 3.4位同步模块 (10) 3.5 PCM分接译码模块 (12) 3.6系统仿真模型 (14) 第四章总结与体会 (15)

第一章摘要 SystemView是具有强大功能基于信号的用于通信系统的动态仿真软件,可以满足从底层到高层不同层次的设计、分析使用。SystemView具有良好的交互界面,通过分析窗口和示波器模拟等方法,提供了一个可视的仿真过程,不仅在工程上得到应用,在教学领域也得到认可,尤其在信号分析、通信系统等领域。其可以实现复杂的模拟、数字及数模混合电路及各种速率系统,并提供了内容丰富的基本库和专业库。 时分复用(TDM:Time Division Multiplexing)的特点是,对任意特定的通话呼叫,为其分配一个固定速率的信道资源,且在整个通话区间专用。TDM把若干个不同通道(channel)的数据按照固定位置分配时隙(TimeSlot:8Bit数据)合在一定速率的通路上,这个通路称为一个基群。时分复用是建立在抽样定理基础上的。抽样定理使连续(模拟)的基带信号有可能被在时间上离散出现的抽样脉冲所代替。这样,当抽样脉冲占据短时间时,在抽样脉冲之间就留有时间空隙,利用这个时间空隙便可以传输其他信号的抽样值。因此,这就有可能沿一条信道同时传送若干个基带信号。 当采用单片集成PCM 编解码器时,其时分复用方式是先将各路信号分别抽样、编码、再经时分复用分配器合路后送入信道,接收端先分路,然后各路分别解码和重建信号。PCM的32路标准的意思是整个系统共分为32个路时隙,其中30 个路时隙分别用来传送30 路话音信号,一个路时隙用来传送帧同步码,另一个路时隙用来传送信令码,即一个PCM30/32 系统。

通信系统课程设计报告

青岛农业大学 理学与信息科学学院通信系统仿真课程设计报告 设计题目PSK调制和解调系统 学生专业班级 学生姓名(学号) 指导教师 完成时间 实习(设计)地点理信学院机房 2015年09月01日

一、课程设计目的和任务 学生通过本课程的实践,能进一步掌握高级语言程序设计基本概念,掌握基本的程序设计方法;通过设计一个完整的小型程序,初步掌握开发软件所需的需求定义能力、功能分解能力和程序设计能力、代码调试技能;学习编写软件设计文档;为未来的软件设计打下良好的基础。 要求学生掌握所学的程序设计方法的基本知识。结合实际深入理解高级语言程序设计的基本概念、原理及方法。运用所学的基础知识开发一个小型的程序,能根据问题的需要构造所需的数据结构,设计适合的算法,解决问题。掌握设计任务的具体要求,进行设计、调试软件的具体方法、步骤和技巧。对一个实际课题的软件设计有基本了解,拓展知识面,激发在此领域中继续学习和研究的兴趣,为学习后续课程做准备。 二 PSK 信号调制解调模型的建立 2.1、PSK 信号调制模型的建立 相移键控(PSK )是利用载波的相位变化来传递数字信息,而振幅和频率保持不变的一种数字信号传递方法。PSK 的调制原理框图如下图所示,与ASK 信号的产生方法比较,只是对s 的要求不同,在ASK 中s 是单极性的,而在PSK 中S 是双极性的基带信号。 )(t s t c ωcos 图1 模拟调制方法 开关电路 ) (2t e PSK π )(t s 图2 键控法 2.2、PSK 信号解调模型的建立

PSK 信号的解调通常采用相干解调法,解调器原理框图如下图。在相干解调中,怎样得到与接收的PSK 信号同频同相的相干载波是一个关键的问题。 )(2t e PSK a c d t c ωcos b 图3 PSK 信号的解调原理框图 2.3、PSK 调制过程分析 根据PSK 调制的定义,设初始相位0和π分别表示二进制“1”和“0”。因此,PSK 信号的时域表达式为 2()cos()PSK c n e t A t ω?=+,其中,n ?表示第n 个符号的绝对相位: 因此,可得到下式 典型波形如下图所示 图4 PSK 信号的时间波形 由于两种码元的波形相同,极性相反,故2PSK 信号可以表述为一个双极性全占空矩形脉冲序列与一个正弦载波的相乘,即 其中, 2PSK A cos ,()A cos ,1c c t P e t t P ωω?=?--? 概率为概率为00,1n ?π?=??,发送“”时发送“”时()2PSK ()cos c e t s t t ω=()() n s n s t a g t nT =-∑

相关文档
相关文档 最新文档