文档库 最新最全的文档下载
当前位置:文档库 › 专用集成电路试验指导书gai

专用集成电路试验指导书gai

专用集成电路试验指导书gai
专用集成电路试验指导书gai

实验一开发平台软件安装与认知实验

实验性质:验证性实验级别:必做

开课单位:信息与通信工程学院通信工程系学时:2学时

一、实验目的:

1、了解Xilinx ISE 9.2/Quartus II软件的功能。

2、掌握Xilinx ISE 9.2/Quartus II的VHDL输入方法。

3、掌握Xilinx ISE 9.2/Quartus II的原理图文件输入和元件库的调用方法。

4、掌握Xilinx ISE 9.2/Quartus II软件元件的生成方法和调用方法。

5、掌握Xilinx ISE 9.2/Quartus II编译、功能仿真和时序仿真。

6、掌握Xilinx ISE 9.2/Quartus II原理图设计、管脚分配、综合与实现、数据流下载方法。

7、了解所编电路器件资源的消耗情况。

二、实验器材:

计算机、Quartus II软件或xilinx ISE

三、实验内容:

1、本实验以三线八线译码器(LS74138)为例,在Xilinx ISE 9.2软件平台上完成设计电路的VHDL

文本输入、语法检查、编译、仿真、管脚分配和编程下载等操作。下载芯片选择Xilinx公司的CoolRunner II系列XC2C256-7PQ208作为目标仿真芯片。

2、用1中所设计的的三线八线译码器(LS74138)生成一个LS74138元件,在Xilinx ISE 9.2软

件原理图设计平台上完成LS74138元件的调用,用原理图的方法设计三线八线译码器(LS74138),实现编译,仿真,管脚分配和编程下载等操作。

四、实验步骤:

1、三线八线译码器(LS74138)VHDL电路设计

(1)、三线八线译码器(LS74138)的VHDL源程序的输入

打开Xilinx ISE 9.2编程环境软件Project Navigator,执行“file”菜单中的【New Project】命令,为三线八线译码器(LS74138)建立设计项目。项目名称【Project Name】为“Shiyan”,工程建立路径为“C:\Xilinx\bin\Shiyan”,其中“顶层模块类型(Top-Level Module Type)”为硬件描述语言(HDL),如图1所示。

图1

点击【下一步】,弹出【Select the Device and Design Flow for the Project】对话框,在该对话框内进行硬件芯片选择与工程设计工具配置过程。各标签具体含义如下:

●【Device Family】:定义所选芯片器件族

●【Device】:定义器件名

●【Package】:定义器件封装形式

●【Speed Grade】:定义芯片工作速度等级

●【Top-Level Module Type】:定义顶层模块类型

●【Synthesis Tool】:定义综合工具

●【Simulator】:定义仿真测试工具

●【Generated Simulation Language】:定义硬件描述语言

针对本试验所用开发板我们选择“CoolRunner2 CPLDs”系列的“XC2C256-7PQ208”器件作为目标芯片进行仿真,如图2所示。

图2

图2的选项卡中“simulator”选项,如果选择xilinx自带的仿真器,则选择“ISE simulator”,如果选择第三方仿真软件,则如图所示。完成具体选择后点击【下一步】弹出如图3所示对话框,

在该对话框内创建文件资源。

图3

打开【New Source】标签,弹出如图4所示对话框,在左侧方框中包含了用户可以创建的文件类型,包括以下内容:

●【Schematic】:原理图类型文件

●【State Diagram】:状态图类型文件

●【Test Bench Waveform】:波形类型测试文件

●【User Document】:用户类型文件

●【Verilog Module】:Verilog类型文件

●【Verilog Test Fixture】:Verilog语言描述类型测试文件

●【VHDL Library】:VHDL库文件

●【VHDL Module】:VHDL类型模块文件

●【VHDL Package】:VHDL类型文件封装库

●【VHDL Test Bench】:VHDL语言描述类型测试文件

图4

在【File】标签下对话框内写入用户自定义的文件名称,标签【Locatior】下显示了新定义文件的创建路径,选中标签【Add to proje】前的对号标记,将新创建的文件74ls138添加到工程“Shiyan”中。点击【下一步】,弹出如图5所示对话框,在此对话框中输入三线八线译码器(LS 74138)的的端口信息。

图5

点击【下一步】弹出【New Source Information】对话框,在该对话框内显示了新建文件的属性及信息,如图6所示。

图6

点击【完成】返回资源创建对话框,

图7

点击【完成】标签结束新建工程过程。进入Xilinx ISE文本编辑方式,在文本框中编辑输入3线8线译码器的VHDL源程序,如图7所示:

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

-- Uncomment the following lines to use the declarations that are

-- provided for instantiating Xilinx primitive components.

--library UNISIM;

--use UNISIM.VComponents.all;

entity ls74138 is

Port ( g1 : in std_logic;

g2 : in std_logic;

inp : in std_logic_vector(2 downto 0);

y : out std_logic_vector(7 downto 0));

end ls74138;

architecture Behavioral of ls74138 is

begin

process(g1,g2,inp)

begin

if((g1 and g2)='1') then

case inp is

when "000"=>y<="00000001";

when "001"=>y<="00000010";

when "010"=>y<="00000100";

when "011"=>y<="00001000";

when "100"=>y<="00010000";

when "101"=>y<="00100000";

when "110"=>y<="01000000";

when "111"=>y<="10000000";

when others=>y<="00000000";

end case;

else

y<="00000000";

end if;

end process;

end Behavioral;

在VHDL源程序中,G1和G2为两个使能控制信号,INP为命令码输入信号,Y为8位译码输出信号。

(2)、设计文件存盘与语法检查

图8 图9

完成程序代码输入后单击高亮“ls74138-behavioral(LS74138.vhdl)”标签(图8),此时工具窗口将显示“Process for Source(ls74138-behavioral)”。用鼠标右键点击Process窗口中【Check Syntax】标签,点击运行选项,进行程序语法检查,当显示一绿色对号标志时即表示程序中不存在语法问题,如图9所示。或双击【Synthesize-XST】, 当显示一绿色对号标志时即表示程序综合成功。如图10所示

图10

(3)、仿真文件设计

为了验证所设计电路功能,需要输入测试文件对电路程序功能进行测试。在【Process】菜单中选择【New Source】选项,即可弹出如图5所示对话框,选择【VHDL Test Bench】添加测试向量文件,并将文件添加到LS74138模块中,如图11所示

图11

运行行为仿真选项卡【Behavioral Simulation】,如图12所示,在测试向量文件中填写代码,完成后保存,Xilinx ISE自动调用ModelSim SE 6.1c仿真平台作为仿真工具。运行ModelSim SE 6.1c,。在【transcript】窗口中输入仿真时间。在波形【Wave】窗口内使用

按钮实现仿真图的“放大”“缩小”“全局”功能。图13即为电路仿真结果,由图中时序及逻辑关系可知该三线八线译码器行为仿真正常。

图12

图13

测试向量参考程序如下:

LIBRARY ieee;

USE ieee.std_logic_1164.ALL;

USE ieee.numeric_std.ALL;

ENTITY ls74138_tb_74138_vhd_tb IS

END ls74138_tb_74138_vhd_tb;

ARCHITECTURE behavior OF ls74138_tb_74138_vhd_tb IS

COMPONENT ls74138

PORT(

G1 : IN std_logic;

G2 : IN std_logic;

INP : IN std_logic_vector( 2 downto 0);

Y : OUT std_logic_vector(7 downto 0)

);

END COMPONENT;

SIGNAL G1 : std_logic;

SIGNAL G2 : std_logic;

SIGNAL INP : std_logic_vector( 2 downto 0);

SIGNAL Y : std_logic_vector(7 downto 0);

BEGIN

uut: ls74138 PORT MAP(

G1 => G1,

G2 => G2,

INP => INP,

Y => Y

);

-- *** Test Bench - User Defined Section *** u1:PROCESS

BEGIN

G1<='0';

wait for 10 us;

G1<='1';

wait for 90 us;

G1<='0';

wait;

END PROCESS u1;

u2:PROCESS

BEGIN

G2<='0';

wait for 10 us;

G2<='1';

wait for 90 us;

G2<='0';

wait;

END PROCESS u2;

u3:PROCESS

BEGIN

INP<="000";

wait for 20 us;

INP<="001";

wait for 10 us;

INP<="010";

wait for 10 us;

INP<="011";

wait for 10 us;

INP<="100";

wait for 10 us;

INP<="101";

wait for 10 us;

INP<="110";

wait for 10 us;

INP<="111";

wait;

end PROCESS u3;

-- *** End Test Bench - User Defined Section ***

END behavior ;

(4)芯片管脚定义

如前所述添加用户定义限制文件,如图14所示,运行【Assign Package Pins】选项卡,Xilinx ISE将弹出管脚分配窗口,在如图15,输入各个端口管脚位置并保存,完成芯片管脚定义。

图14

图15

(5)编译与综合

图16 图17

运行【Process for Source】中的【Implement Design】(图16),ISE将自动完成编译并调用内嵌的综合工具XST完成综合过程,运行结果如图17所示。

编译通过后即自动生成了电路烧录下载文件(*.jed)以及资源消耗报告,通过该报告即可了解所设计电路的资源消耗情况。即设计中使用了几个宏单元(Macrocells),几个乘积项(Pterms),几个寄存器单元(Registers),几个用户引脚(Pins)及几个功能输入块(Function Block)。

(6)编程下载

本试验用并行电缆将开发实验平台与计算机接在一起。确认下载电缆连接无误后执行Xilinx ISE 的【iMPACT】命令,将三线八线译码器(LS74138)设计文件下载到目标芯片XC2C256-7PQ208中,硬件验证所设计电路功能。

2、元件的生成、调用和仿真

如图19所示,选择“create schematic symbol”双击,将新建立的实体ls74138生成元件,放在库中;新建原理图文件,命名为“Sch_LS74138”并添加到工程“Shiyan”中,如图20。点击【下一步】完成原理图文件的创建。在弹出的原理图编辑框内选择【Symbols】标签,在其目录列表内显示了所有可用电路器件,其中包括了我们所设计的LS74138(图21)。

图19 图20

图21

双击“ls74138”将其放置到原理图编辑区内。点击为器件添加外围端口,如图22所示。

图22

将原理图文件保存后返回【Xilinx Project Navigator】平台,此时已经将程序所设计的器件“LS74138”配置给了原理图文件“sch_ls74138”,其余电路仿真、管脚配置、编译、综合以及电路下载等过程与文本设计方式一致,在此不在重复说明。

五、预习与思考:

思考:比较VHDL语言和原理图的设计方法,这两种设计各有哪些优缺点。

实验二组合逻辑电路的VHDL语言实现

实验性质:验证性实验级别:必做

开课单位:信息与通信工程学院通信工程系学时:2学时

一、实验目的:

1、掌握VHDL语言设计基本单元及其构成

2、掌握用VHDL语言设计基本的组合逻辑电路的方法。

3、掌握VHDL语言的主要描述语句。

二、实验器材:

计算机、Quartus II软件或Xilinx ISE

三、实验内容:以下三个内容选择两个完成

(一)、用VHDL语言实现八位加法器的设计并实现功能仿真。

(二)、用VHDL语言实现优先编码器的设计并实现功能仿真

(三)、用VHDL语言实现四选一选择器的设计并实现功能仿真。

四、实验步骤:

(一)、用VHDL语言实现八位加法器的设计并实现功能仿真。

1、完成1位全加器设计,在Xilinx ISE软件平台上完成设计电路的VHDL文本输入,编辑,编译,仿真,管脚分配和编程下载等操作。下载芯片选择Xilinx公司的CoolRunner II系列XC2C256-7PQ208作为目标仿真芯片。

2、用实验内容1所设计的全加器的VHDL文件生成一个adder的元件,在Xilinx ISE软件原理图设计平台上完成adder元件的调用,用原理图的方法设计一个8位二进制加法器,实现编译,仿真,管脚分配和编程下载等操作。

原理:全加器是带进位信号的加法器,其逻辑表达式为:

carryin

dataB

dataA

Sum+

+

=。它的

真值表如表1所示,其中dataA和dataB为加数与被加数,carryin是输入的进位位信号,而Sum 是和数,carryout是输出进位位信号。参考真值表,实现八位全加器的功能。

表1

一)1位加法器的VHDL源程序参考如下:

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

-- Uncomment the following lines to use the declarations that are

-- provided for instantiating Xilinx primitive components.

--library UNISIM;

--use UNISIM.VComponents.all;

entity adder is

Port ( a : in std_logic;

b : in std_logic;

cin : in std_logic;

sum : out std_logic;

cout : out std_logic);

end adder;

architecture Behavioral of adder is

begin

sum <= (a xor b) xor cin;

cout <= (a and b) or (cin and a) or (cin and b);

end Behavioral;

测试向量参考程序如下:

-- VHDL Test Bench Created from source file adder.vhd -- 21:00:50 03/18/2008

-- Notes:

-- This testbench has been automatically generated using types std_logic and

-- std_logic_vector for the ports of the unit under test. Xilinx recommends

-- that these types always be used for the top-level I/O of a design in order

-- to guarantee that the testbench will bind correctly to the post-implementation

-- simulation model.

LIBRARY ieee;

USE ieee.std_logic_1164.ALL;

USE ieee.numeric_std.ALL;

ENTITY adder_adder_vhd_tb IS

END adder_adder_vhd_tb;

ARCHITECTURE behavior OF adder_adder_vhd_tb IS COMPONENT adder

PORT(

a : IN std_logic;

b : IN std_logic;

cin : IN std_logic;

sum : OUT std_logic;

cout : OUT std_logic

);

END COMPONENT;

SIGNAL a : std_logic;

SIGNAL b : std_logic;

SIGNAL cin : std_logic;

SIGNAL sum : std_logic;

SIGNAL cout : std_logic;

BEGIN

uut: adder PORT MAP(

a => a,

b => b,

cin => cin,

sum => sum,

cout => cout

);

u1: PROCESS

BEGIN

a<='0';

wait for 10 us;

a<='1';

wait for 20 us;

a<='0';

wait for 10 us;

a<='1';

wait for 10 us;

a<='0';

wait for 20 us;

a<='1';

wait for 10 us;

a<='0';

wait for 10 us; a<='1';

wait;

END PROCESS u1; u2:process

begin

b<='1';

wait for 10 us;

b<='0';

wait for 10 us;

b<='1';

wait for 10 us;

b<='0';

wait for 10 us;

b<='1';

wait for 20 us;

b<='0';

wait for 10 us;

b<='1';

wait for 10 us;

b<='0';

wait for 20 us;

b<='1';

wait;

END PROCESS u2;

u3: process

begin

cin<='0';

wait for 40 us;

cin<='1';

wait for 20 us;

cin<='0';

wait;

end process u3;

END behavior;

仿真图如下图1所示:

图 1

管脚分配和编程下载如实验一,这里不再累述。

二)用实验内容1所设计的全加器的VHDL文件生成一个adder的元件,在Xilinx ISE软件原理图设计平台上完成adder元件的调用,用原理图的方法设计一个8位二进制加法器:

步骤一)在processer for Source:”adder”窗口点击Create Schematic Symbol如下图2所示,生成adder 的元件,在Sources in Project 窗口新建Schematic 文件命名adder8 ,如下图3所示,在Xilinx ECS 平台上点Symbols如图4所示,添加8个adder到原理图编辑区域,连接各元件并添加引脚,如图5

图2 图3

图4

图 5

福州大学集成电路应用实验一

《集成电路应用》课程实验实验一 4053门电路综合实验 学院:物理与信息工程学院 专业: 电子信息工程 年级: 2015级 姓名:张桢 学号: 指导老师:许志猛

实验一 4053门电路综合实验 一、实验目的: 1.掌握当前广泛使用的74/HC/HCT系列CMOS集成电路、包括门电路、反相 器、施密特触发器与非门等电路在振荡、整形、逻辑等方向的应用。 2.掌握4053的逻辑功能,并学会如何用4053设计门电路。 3.掌握多谐振荡器的设计原理,设计和实现一个多谐振荡器,学会选取和 计算元件参数。 二、元件和仪器: 1.CD4053三2通道数字控制模拟开关 2.万用表 3.示波器 4.电阻、电容 三、实验原理: 1.CD4053三2通道数字控制模拟开关 CD4053是三2通道数字控制模拟开关,有三个独立的数字控制输入端A、B、C和INH输入,具有低导通阻抗和低的截止漏电流。幅值为4.5~20V的数字信号可控制峰-峰值至20V的数字信号。CD4053的管脚图和功能表如下所示 4053引脚图

4053的8种逻辑功能 CD4053真值表 根据CD4053的逻辑功能,可以由CD4053由4053电路构成如下图所示8种逻辑门(反相器与非门或非门、反相器、三态门、RS 触发器、——RS 触发器、异或门等)。 输入状态 接通通道

]) 2)(()(ln[ T DD T DD T DD T V V V V V V V RC T -+--=2.多谐振荡器的设计 非门作为一个开关倒相器件,可用以构成各种脉冲波形的产生电路。电路的基本工作原理是利用电容器的充放电,当输入电压达到与非门的阈值电压VT 时,门的输出状态即发生变化。因此,电路输出的脉冲波形参数直接取决于电路中阻容元件的数值。 可以利用反相器设计出如下图所示的多谐振荡器 这样的多谐振荡器输出的信号周期计算公式为: 当R S ≈2R 时,若:VT=0.5VDD ,对于HC 和HCU 型器件,有 T ≈2.2RC 对于HCT 型器件,有 T ≈2.4RC 四、实验内容: 1. 验证CD4053的逻辑功能,用4053设计门电路,并验证其逻辑功能: (1)根据实验原理设计如下的反相器电路图: CD4053构成反相器电路

集成电路实训报告

集成电路课程设计 目录 1 .引言 (1) 1.1 课题目的与意义 (1) 1.2 设计题目与要求 (1) 1.3 Tanner软件的介绍 (2) 2反相器设计 (2) 2.1 S-edit设计反相器 (2) 2.2反相器的瞬时分析 (3) 2.3反相器直流分析 (4) 3 L-edit画PMOS和NMOS布局图 (5) 3.1 L-edit的使用 (5) 3.2 使用L-Edit画PMOS布局图 (5) 3.3 使用L-Edit画NMOS布局图 (6) 3.4 使用L-Edit画基板节点元件 (7) 3.5 L-edit画反相器布局并作瞬时和直流分析 (7) 3.6使用LVS对比反相器 (8) 3.7关于功耗和延迟方面的计算 (9) 4.仿真注意事项 (11) 5 总结 (12) 参考文献 (13)

1 .引言 集成电路产业是信息产业的核心,在全球集成电路产业重心转移的背景下,中国集成电路产业取得了前所唯有的发展,为信息产业向纵深发展奠定了一定的基础。在全球集成电路竞争中,中国国产集成电路仍然处于较弱的地位,一方面供给无法满足中国电子整机产品的需求,另一方面则是自主创新能力不足。同时,也应看到中国集成电路产业发展的希望与契机,作为全球集成电路产业增长最快的地区和全球最具发展潜力的市场,伴随市场需求的扩张、产业规模的升级、技术水准的提高,该看到中国集成电路产业发展的希望。作为全球第三大集成电路市场中国占了20%的份额,而且产业发展速度和市场潜力在全球首屈一指。如今,由于我国集成电路产业还处于发展初期,富有经验的中高层工程,技术人才、设计人才及企业管理运营人才缺口很大。我国集成电路产业对专业设计、制造、营销、管理人才的需求量是25万一30万人,但目前国内这方面的人才数量远远不够。人才短缺,将成为制约我国集成电路产业快速发展的另一个瓶颈。然而,这也是作为一位学生,也是我们的机会,是我们为国家的集成电路信息安全做贡献的机会。让我们国家的集成电路不受外国掣肘。 1.1 课题目的与意义 本课程设计是《集成电路分析与设计基础》的实践课程,其主要目的是使学生在熟悉集成电路制造技术、半导体器件原理和集成电路分析与设计基础上,训练综合运用已掌握的知识,利用集成电路设计软件,初步熟悉和掌握集成电路芯片系统设计→电路设计及模拟→版图设计→版图验证等正向设计方法。掌握微电子技术人员所需要的基本理论和技能,日后从事集成电路设计工作打下基础。 通过此课程设计使学生对集成电路设计有了初步的认识认识并熟练使用集成电路相关软件,熟练集成电路设计的技能及规则等方面有重要意义。 1.2 设计题目与要求 1设计时使用的工艺及设计规则:MOSIS:mhp-s5; 2根据所用的工艺,选取合理的模型库; 3选用以lambda(λ)为单位的设计规则; 4全手工、层次化设计版图; 5达到指导书提出的设计指标要求。

集成电路实验报告报告—2008301200188王晓东

武汉大学教学实验报告 实验名称集成电路实验指导教师孙涛姓名王晓东年级08 学号2008301200188 成绩 一、预习部分 1.实验目的 2.实验基本原理 3.主要仪器设备(含必要的元器件、工具)

实验一:Shell命令与Solaris9桌面管理 一.实验目的 了解Sorlaris 平台发展历史,Unix 操作系统的主要三个部分。掌握Unix 的Shell 基本命令,公共桌面管理(Common Desk Environment)基本操作,Unix 的文件管理。 二.预备知识与实验原理 计算机基本知识,Unix 操作系统发展的历史、特点,基本UNIX Shell 文件管理命令(见本章第一节)。 三.实验设备与软件平台 Unix 服务器,工作站。 四.实验内容与要求 熟悉三种UnixShell,及基本文件管理命令行命令: 掌握UnixShell 的基本命令、使用、参数意义;并学会使用帮助; 熟悉Unix 文件管理系统; 基本掌握Sorlaris 公共桌面管理平台(CDE)。 五.实验步骤 1. 分别完成并熟练掌握如下实验内容(参阅第一节内容) Bourneshell($) Kornshell($) Cshell(%) ls 显示文件名 cd 目录转换 mkdir 创建目录 rmdir 删除目录 cp 文档复制 find 文件查找 vi 编辑器 geidt 编辑器 man 帮助 exit 系统退出 reboot 系统重启 pwd 显示当前路径 二、实验操作部分 1.实验操作过程(可用图表示) 2.结论

2. Sorlaris 操作系统的三个基本组成,熟悉命令行下的文件管理,子目录等。 3. CDE(公共桌面环境) (1)geidt 编辑文本文件 (2)在CDE 下运行可执行程序 (3)文件管理 思考题 1.简述UNIX 操作系统的三个组成部分。 答:UNIX 操作系统是基于文件的,其三个主要部分是Kernel(内核)、Shell、文件系统。Kernel是操作系统的核心,Shell是用户与kernel之间的接口。它就像是命令的解释器或翻译器。Solaris环境的文件结构是分层的目录树结构,类似于DOS的文件结构。2.简述UNIX 演化过程和特点。 答:最早的计算机都采用的是批处理的方式,耗费的时间和财力都比较大,为克服这一缺点,贝尔实验室研制了一种较为简单的操作系统即UNIX。随着许多商业机构和学术机构的加入,使UNIX得到了迅速的发展。直至今天拥有强大功能、性能良好的的UNIX 系统。 UNIX系统具有可移植性好、可靠性高、伸缩性强、开放性好、网络功能强、数据库支持强大、用户界面良好、文本处理工具强大而完美、开发环境良好、系统审计完善、系统安全机制强、系统备份功能完善、系统结构清晰、系统的专业性和可制定性强的特点。 3.何为UNIX shell?有那些常用shell 命令? 答:UNIX Shell 是Unix 内核与用户之间的接口,是Unix 的命令解释器。常用的shell 命令有Bourne Shell(sh)、Korn Shell(ksh)、C Shell(csh)、Bourne-again Shell (bash)。 实验二:Tcl脚本命令与编程——从1到100的累加 一. 实验目的 掌握Tcl 基本命令,脚本编程的语法,数据类型、控制结构命令,以及基本Tcl 脚本 编程。 二. 预备知识与实验原理 见本章第二节,Tcl/Tk 脚本基础。 三. 实验设备与软件平台 UNIX 服务器一台,工作站数台,Tcl 8.3.2。 四. 实验要求 (1)掌握Tcl 的基本语法、命令结构。 (2)编写脚本程序实现1 到100 的累加。 五. 实验步骤 阅读第二节内容并完成如下实验:

福州大学集成电路应用实验二-参考模板

《集成电路应用》课程实验实验二锁相环综合实验 学院:物理与信息工程学院 专业: 电子信息工程 年级: 2015级 姓名:张桢 学号: 指导老师:许志猛

实验二锁相环综合实验 一、实验目的: 1.掌握锁相环的基本原理。 2.掌握锁相环外部元件的选择方法。 3.应用CD4046锁相环进行基本应用设计。 二、元件和仪器: 1.CD4046 2.函数信号发生器 3.示波器 4.电阻、电容若干 5.面包板 三、实验原理: 1.锁相环的基本原理。 锁相环最基本的结构如图所示。它由三个基本的部件组成:鉴相器(PD)、环路滤波器(LPF)和压控振荡器(VCO)。 锁相环工作原理图 鉴相器是个相位比较装置。它把输入信号Si(t)和压控振荡器的输出信号So(t)的相位进行比较,产生对应于两个信号相位差的误差电压Se(t)。 环路滤波器的作用是滤除误差电压Se(t)中的高频成分和噪声,以保证环路所要求的性能,增加系统的稳定性。

压控振荡器受控制电压Sd(t)的控制,使压控振荡器的频率向输入信号的频率靠拢,直至消除频差而锁定。 锁相环是个相位误差控制系统。它比较输入信号和压控振荡器输出信号之间的相位差,从而产生误差控制电压来调整压控振荡器的频率,以达到与输入信号同频。在环路开始工作时,如果输入信号频率与压控振荡器频率不同,则由于两信号之间存在固有的频率差,它们之间的相位差势必一直在变化,结果鉴相器输出的误差电压就在一定范围内变化。在这种误差电压的控制下,压控振荡器的频率也在变化。若压控振荡器的频率能够变化到与输入信号频率相等,在满足稳定性条件下就在这个频率上稳定下来。达到稳定后,输入信号和压控振荡器输出信号之间的频差为零,相差不再随时间变化,误差电压为一固定值,这时环路就进入“锁定”状态。这就是锁相环工作的大致过程。 2.CD4046芯片的工作原理。 CD4046是通用的CMOS锁相环集成电路,其特点是电源电压范围宽(为3V -18V),输入阻抗高(约100MΩ),动态功耗小,在中心频率f0为10kHz下功耗仅为600μW,属微功耗器件。 CD4046锁相的意义是相位同步的自动控制,功能是完成两个电信号相位同步的自动控制闭环系统叫做锁相环,简称PLL。它广泛应用于广播通信、频率合成、自动控制及时钟同步等技术领域。锁相环主要由相位比较器(PC)、压控振荡器(VCO)、低通滤波器三部分组成,如下所示。 4046组成框图

福州大学集成电路应用实验一

福州大学集成电路应用实验一

————————————————————————————————作者:————————————————————————————————日期:

《集成电路应用》课程实验实验一 4053门电路综合实验 学院:物理与信息工程学院 专业: 电子信息工程 年级: 2015级 姓名:张桢 学号: 指导老师:许志猛

实验一 4053门电路综合实验 一、实验目的: 1.掌握当前广泛使用的74/HC/HCT系列CMOS集成电路、包括门电路、反相 器、施密特触发器与非门等电路在振荡、整形、逻辑等方向的应用。 2.掌握4053的逻辑功能,并学会如何用4053设计门电路。 3.掌握多谐振荡器的设计原理,设计和实现一个多谐振荡器,学会选取和 计算元件参数。 二、元件和仪器: 1.CD4053三2通道数字控制模拟开关 2.万用表 3.示波器 4.电阻、电容 三、实验原理: 1.CD4053三2通道数字控制模拟开关 CD4053是三2通道数字控制模拟开关,有三个独立的数字控制输入端A、B、C和INH输入,具有低导通阻抗和低的截止漏电流。幅值为4.5~20V的数字信号可控制峰-峰值至20V的数字信号。CD4053的管脚图和功能表如下所示 4053引脚

4053的8种逻辑功能 CD4053真值表 根据CD4053的逻辑功能,可以由CD4053由4053电路构成如下图所示8种逻辑门(反相器与非门或非门、反相器、三态门、RS 触发器、——RS 触发器、异或门等)。 输入状态 接通通道

]) 2)(()(ln[ T DD T DD T DD T V V V V V V V RC T -+--=2.多谐振荡器的设计 非门作为一个开关倒相器件,可用以构成各种脉冲波形的产生电路。电路的基本工作原理是利用电容器的充放电,当输入电压达到与非门的阈值电压VT 时,门的输出状态即发生变化。因此,电路输出的脉冲波形参数直接取决于电路中阻容元件的数值。 可以利用反相器设计出如下图所示的多谐振荡器 这样的多谐振荡器输出的信号周期计算公式为: 当R S ≈2R 时,若:VT=0.5VDD ,对于HC 和HCU 型器件,有 T ≈2.2RC 对于HCT 型器件,有 T ≈2.4RC 四、实验内容: 1. 验证CD4053的逻辑功能,用4053设计门电路,并验证其逻辑功能: (1)根据实验原理设计如下的反相器电路图: CD4053构成反相器电路

集成电路实验 王向展

电子科技大学 实验报告 二、实验项目名称:CMOS模拟集成电路设计与仿真 三、实验地点:211大楼606房间 四、实验学时:4 五、实验目的: (1)综合运用课程所学知识自主完成相应的模拟集成电路版图设计,掌握基本的IC版图布局布线技巧。 (2)学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行版图的的设计与验证 六、实验原理: IC设计一般规则: ①根据用途要求,确定系统总体方案 ②根据电路的指标和工作条件,确定电路结构与类型,然后通过模拟计算, 决定电路中各器件的参数(包括电参数、几何参数等),EDA软件进行模拟仿真。 ③根据电路特点选择适当的工艺,再按电路中各器件的参数要求,确定满足 这些参数的工艺参数、工艺流程和工艺条件。 ④按电路设计和确定的工艺流程,把电路中有源器件、阻容元件及互连以一 定的规则布置在硅片上,绘制出相互套合的版图,以供制作各次光刻掩模版用。 ⑤生成PG带制作掩模版 ⑥工艺流片 ⑦测试,划片封装

实验模拟基于Cadence 平台的电路设计与仿真 七、实验内容: 1、UNIX操作系统常用命令的使用,Cadence EDA仿真环境的调用。 2、设计一个运算放大器电路,要求其增益大于60dB, 相位裕度大于45o, 功耗小于10mW。 3、根据设计指标要求,选取、确定适合的电路结构,并进行计算分析。 4、电路的仿真与分析,重点进行直流工作点、交流AC分析、瞬态Trans 分析、建立时间小信号特性和压摆率大信号分析,能熟练掌握各种分析的参数设置方法。 5、电路性能的优化与器件参数调试,要求达到预定的技术指标。 6、整理仿真数据与曲线图表,撰写并提交实验报告。 八、实验仪器与器材 (1)工作站或微机终端一台 (2)EDA仿真软件 1套 九、实验结果: 1、根据实验指导书熟悉UNIX操作系统常用命令的使用,掌握Cadence EDA仿真环境的调用。 2、根据设计指标要求,设计出如下图所示的电路结构。并进行计算分析,确定其中各器件的参数。 4、电路的仿真与分析,重点进行直流工作点、交流AC分析、瞬态Trans分析,能熟练掌握各种分 ①增益与频率之间的关系、相位裕度与频率之间关系图如下所示: ②输入、输出关系曲线 十、实验结果计算与分析: 从幅频特性曲线图像中可以读出,电路的增益A V=59dB略小于设计所要求的60dB;找出增益接近于0时候的截止频率为102.4MHz,对应到下方相频特性曲线图像中为-130o,则相位裕度为180o-130o=50o,

集成电路综合实验报告

集成电路设计综合实验 题目:集成电路设计综合实验 班级:微电子学1201 姓名: 学号:

集成电路设计综合实验报告 一、实验目的 1、培养从版图提取电路的能力 2、学习版图设计的方法和技巧 3、复习和巩固基本的数字单元电路设计 4、学习并掌握集成电路设计流程 二、实验内容 1. 反向提取给定电路模块(如下图1所示),要求画出电路原理图,分析出其所完成的逻辑功能,并进行仿真验证;再画出该电路的版图,完成DRC验证。 图1 1.1 查阅相关资料,反向提取给定电路模块,并且将其整理、合理布局。 1.2 建立自己的library和Schematic View(电路图如下图2所示)。 图2 1.3 进行仿真验证,并分析其所完成的逻辑功能(仿真波形如下图3所示)。

图3 由仿真波形分析其功能为D锁存器。 锁存器:对脉冲电平敏感,在时钟脉冲的电平作用下改变状态。锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,当锁存器处于使能状态时,输出才会随着数据输入发生变化。简单地说,它有两个输入,分别是一个有效信号EN,一个输入数据信号DATA_IN,它有一个输出Q,它的功能就是在EN有效的时候把DATA_IN的值传给Q,也就是锁存的过程。 只有在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号。其中使能端A 加入CP信号,C为数据信号。输出控制信号为0时,锁存器的数据通过三态门进行输出。所谓锁存器,就是输出端的状态不会随输入端的状态变化而变化,仅在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号到来时才改变。锁存,就是把信号暂存以维持某种电平状态。 1.4 生成Symbol测试电路如下(图4所示) 图4

集成电路系统设计实验

实验一集成电路系统EDA软件使用简介 (基础性实验) 一实验目的 1、了解利用Quartus II 8.0 软件开发数字电路的基本流程以及掌握Quartus II软件 的详细操作。 2、了解使用VHDL原理图设计进行集成电路系统设计的实现方法。 3、掌握Quartus II 8.0 软件开发数字电路的基本设计思路,软件环境参数配置,时 序仿真,管脚分配,并且利用JTAG接口进行下载的常规设计流程。 二实验前的准备 1、将红色的MODUL_SEL拨码开关组合的1、 2、8拨上, 3、 4、 5、 6、7拨下,使数码 管显示当前模式为:C1. 2、检查JTAG TO USB转换接口和USB连接线的连接,并且将JTAG线连接到核心板上的 JTAG接口(核心板的第二个十针的插口)处。 三实验要求 学习使用Quartus II 8.0软件,掌握VHDL文本描述和原理图描述的RTL级描述方法。 四实验内容 (一)了解门电路元件库 1、新建原理图设计文件,并在原理图设计文件的基础上插入各种基本门电路元件,包 括与门、或门、非门、异或门等。 2、利用原理图图形编辑窗,将基本门电路元件进行连接,形成布线。 3、为连接好的门电路组合电路添加输入和输出端口。 (二)了解逻辑电路的仿真 1、保存原理图设计文件,新建时序仿真文件。 2、将各端口的信号标出,并对其实施功能仿真或时序仿真。并将仿真波形写入实验报 告。 (三)了解原理图文件的综合和下载 1、对原理图文件进行综合和引脚连结。 2、将对应FPGA端口连接至原理图电路端口中,并将原理图文件综合后的网表文件下载 到FPGA中,进行功能验证。 3、将硬件功能情况描述记录于实验报告中。

《集成电路测试》 实验指导书

《集成电路测试》实验指导书 南通大学集成电路重点实验室 2009年6月

实验一 测试图形生成及验证 一、实验目的 熟悉对被测电路给定故障生成测试图形的过程,掌握异或法和D 算法的具体运用。 二、实验原理 参考教材P74 4.2.1 异或法, P82 4.4 D 算法 三、实验内容 a b c d (1) 用异或法对5/0故障生成测试图形; (2) 用D 算法对6/0故障生成测试图形; (3) 对以上所产生的测试图形进行验证;(在Quartus II 中进行验证) 四、实验报告 写出测试图形生成的具体过程,给出整个实验的原理图和运行结果,分析实验结果的正确性。 f

实验二伪随机序列生成 一、实验目的 了解随机测试和伪随机测试的基本概念;掌握LFSR的基本结构和M序列的基本特性。 二、实验原理 基于故障的确定性测试方法是指用专门的算法对给定的故障生成测试图形,优点是生成的测试图形长度短,但生成过程比较复杂,测试施加比较困难。由微处理器的测试软件算法或者专用的测试电路可容易生成随机的或伪随机的测试图形,并具有较高的故障覆盖率,因此在集成电路测试中得以广泛应用。 如果一个序列,一方面它是可以预先确定的,并且是可以重复地生产和复制的;一方面它又具有某种随机序列的随机特性(即统计特性),我们便称这种序列为伪随机序列。因此可以说,伪随机序列是具有某种随机特性的确定的序列。它们是由移位寄存器产生确定序列,然而他们却具有某种随机序列的随机特性。因为同样具有随机特性,无法从一个已经产生的序列的特性中判断是真随机序列还是伪随机序列,只能根据序列的产生办法来判断。伪随机序列系列具有良好的随机性和接近于白噪声的相关函数,并且有预先的可确定性和可重复性。 伪随机序列的电路为一个反馈移位寄存器,它可分为线性反馈移位寄存器(简称LFSR 计数器)和非线性反馈移位寄存器,由线性反馈移位寄存器(LFSR)产生的周期最长的二进制数字序列称为最大长度线性反馈移位寄存器序列,通常简称为M序列。 关于LFSR构造及M序列的相关特性,请参阅教材p205-212的相关内容。 三、实验内容 下图为4位完全LFSR结构图,试分析其自动生成的序列。(在Quartus II中运行、分析) 四、实验报告 对伪随机序列,LFSR,M序列等相关概念进行综述。对上图进行分析。

集成电路实验报告 (2)

实验 3 使用T-Spice 进行单元电路的瞬时分析3.1 实验目的及要求 1.进一步熟悉Tanner Pro 软件中T-Spice 软件的使用; 2.掌握使用T-Spice 分析简单电路的方法与操作流程,从而学会分析较为复杂的逻辑电路。 3.2 实验内容 3.2.1 反相器瞬时分析 (1)打开S-Edit,由于本实例中所使用的电路需要在反相器电路的基础上进行适当修改,为不影响后面的版图设计,同学们可以建立新文件EX3,将EX2 中反相器模块复制到EX3 文件中,再打开加入电源进行适当修改即可。反相器电路设计较为简单,在此只是教大家掌握复制模块的方法,希望大家掌握。 (2)复制inv 模块方法如下:先打开实验 2 中设计的“EX2.sdb”。进行复制前必须回到EX3 文件环境,方法为选择Module->Open 命令,打开Open Module 对话框,在Files下拉列表中选择EX3,单击OK 回到EX3 环境,才能进行复制模块操作。选择Module->Copy命令,打开Copy Module 对话框,在下拉列表中选择EX2 选项,在Select Module To Copy列表中选择inv 选项,单击OK 按钮即可。 (3)加入工作电源:inv 模块在电路设计模式下,选择Moudle->Symbol Browser 命令,在Library 列表框中选择spice 组件库,其中有很多电压源符号,选取直流电压源Source_v_dc 作为此电路的工作电压源。直流电压源Source_v_dc 符号有正(+)端与负(-)端。在inv 模块编辑窗口中直流电压源有两种接法可以直接连线接到原电路图的Vdd 与Gnd,也可另外复制两个Vdd 与Gnd(Ctrl+C 复制Ctrl+V 粘贴)接到电压源正负极,虽然两个全域符号Vdd 与Gnd 符号分开放置,但两个分离的Vdd 符号实际上是接到同一个节点,而两个Gnd 符号也是共同接地的。 (4)加入输入信号:选择Moudle->Symbol Browser 命令,在Library 列表框中选择spice 组件库,选取脉冲电压源Source_v_pulse 作为反相器输入信号,将脉冲电压源Source_v_pulse 符号的正端接输入端口in,负端接Gnd,编辑完成。为避免文件混杂且便于分辨可将原模块名称改为“inv_tran”,方便日后应用于其他的分析中。 (5)输出成SPICE 文件:此操作有两种方法前面已经介绍过了,可以直接单击S-Edit右上方的按钮,则会自动输出成SPICE 格式并打开T-Spice 程序。 (6)加载包含文件:由于不同的流程有不同的特性,在模拟之前必须要引入MOS 组件的模型文件,此模型文件内有包括电容电阻系数等数据,以供T-Spice 模拟之用。本实验是引用 1.25um 的CMOS 流程组件模型文件“m12_125.md”。鼠标移至主要电路前,选择Edit->Insert Command 命令或点击,打开T-Spice Command Tool 对话框,在左边列表框中选择Files选项。此时窗口将出现3个选项,单击Include Files按钮,点击下方的CreateCommand 按钮,在\tanner EDA\T-Spice Pro\models 下找到m12_125.md 文件,点击InsertCommand 添加即可。添加完成出现如下指令:.include “C:\ProgramFiles\Tanner EDA\T-Spice Pro\models\ml2_125.md”

电子科技大学 集成电路原理实验模拟集成电路版图设计与验证 王向展

实验报告 课程名称:集成电路原理 实验名称:模拟集成电路版图设计与验证小组成员: 实验地点:科技实验大楼606 实验时间:2017年6月19日 2017年6月19日 微电子与固体电子学院

一、实验名称:模拟集成电路版图设计与验证 二、实验学时:4 三、实验原理 1、电路设计与仿真 实验2内容,根据电路的指标和工作条件,然后通过模拟计算,决定电路中各器件的参数(包括电参数、几何参数等),EDA软件进行模拟仿真。 2、工艺设计 根据电路特点结合所给的工艺,再按电路中各器件的参数要求,确定满足这些参数的工艺参数、工艺流程和工艺条件。 3、版图设计 按电路设计和确定的工艺流程,把电路中有源器件、阻容元件及互连以一定的规则布置在Candence下的版图编辑器内。并优化版图结构。 四、实验目的 本实验是基于微电子技术应用背景和《集成电路原理》课程设置及其特点而设置,为IC设计性实验。其目的在于: 1、根据实验任务要求,综合运用课程所学知识自主完成相应的模拟集成电路版图设计,掌握基本的IC版图布局布线技巧。 2、学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行版图的的设计与验证。 通过该实验,使学生掌握CMOS模拟IC版图设计的流程,加深对课程知识的感性认识,增强学生的设计与综合分析能力。 五、实验内容 1、UNIX操作系统常用命令的使用,Cadence EDA仿真环境的调用。

2、根据实验2所得参数,自主完成版图设计,并掌握布局布线的基本技巧。 3、整理版图生成文件,总结、撰写并提交实验报告。 六、实验仪器设备 (1)工作站或微机终端一台 (2)EDA仿真软件1套 七、实验步骤 1、根据实验指导书掌握Cadence EDA仿真环境的调用。熟悉版图编辑器Layout Editor的使用。了解基本的布局布线方法及元器件的画法。 2、根据实验2所计算验证的两级共源CMOS运放的元器件参数如表1所示,在版图设计器里画出相应的元器件,对V+、V-、V out、V DD、GND的压焊点位置合理化放置,通过金属画线将各个元器件按实验2的电路图合理连接,避免跳线。 表 1运放各器件版图参数

数字逻辑实验指导书(1)

实验一 实验箱及小规模集成电路的使用 一 实验目的 1 掌握实验箱的功能及使用方法 2 学会测试芯片的逻辑功能 二 实验仪器及芯片 1 实验箱 2 芯片:74LS00 二输入端四与非门 1片 74LS86 二输入端四异或门 1片 74LS04 六非门 1片 三 实验内容 1 测试芯片74LS00和74LS86的逻辑功能并完成下列表格。 (1) 74LS00的14脚接+5V 电源,7脚接地;1、2、4、5、9、10、12、13脚接逻辑开关,3、6、8、11接发光二极管。(可以将1、4、9、12接到一个逻辑开关上,2、5、10、13接到一个逻辑开关上。)改变输入的状态,观察发光二极管。74LS86的接法74LS00基本一样。 表 74LS00的功能测试 表 74LS86的功能测试 (2)分析74LS00和74LS86的四个门是否都是完好的。 2 用74LS00和74LS04组成异或门,要求画出逻辑图,列出异或关系的真值表。 (3)利用74LS00和74LS04设计一个异或门。画出设计电路图。

实验二译码器和数据选择器 一实验目的 1继续熟悉实验箱的功能及使用方法 2掌握译码器和数据选择器的逻辑功能 二实验仪器及芯片 1 实验箱 2 芯片:74LS138 3线-8线译码器 1片 74LS151 八选一数据选择器 1片 74LS20 四输入与非门 1片 三实验内容 1 译码器功能测试(74LS138) 芯片管脚图如图所示,按照表连接电路,并完成表格。其中16脚接+5V,8脚接地,1~6脚都接逻辑开关,7、9、10、11、12、13、14、15接发光二极管。 表 2 数据选择器的测试(74LS151) 按照表连接电路,并完成表格。其中16脚接+5V,8脚接地;9、10、11,为地址输入端,接逻辑开关;4、3、2、1、12、13、14、15为8个数据输入端,接逻辑开关;G为选通输入端,Y为输出端,接发光二极管。

模拟集成电路实验报告

CMOS放大器设计实验报告 一、实验目的 1.培养学生分析、解决问题的综合能力; 2.熟悉计算机进行集成电路辅助设计的流程; 3.学会适应cadence设计工具; 4.掌握模拟电路仿真方法 6.掌握电子电路、电子芯片底层版图设计原则和方法; 7.掌握使用计算机对电路、电子器件进行参数提取及功能模拟的过程; 8.熟悉设计验证流程和方法。 二、实验原理 单级差分放大器结构如下图所示: 在电路结构中,M2和M3组成了NMOS差分输入对,差分输入与

单端输入相比可以有效抑制共模信号干扰;M0和M1电流镜为有源负载,可将差分输入转化为单端输出;M5管提供恒定的偏置电流。三、实验要求 设计电路使得其达到以下指标: 1.供电电压: 2.输入信号:正弦差分信号 3.共模电压范围为 4.差分模值范围 5.输出信号:正弦信号 6.摆率大于 7.带宽大于 8.幅值增益: 9.相位裕度: 10.功耗: 11.工作温度: 四、差分放大器分析

1、直流分析 为了使电路正常工作,电路中的MOS管都应处于饱和状态。 1.1 M2管的饱和条件: 1.2 M4管的饱和条件: 2.小信号分析 小信号模型如下:

由图可得: 2.1 增益分析 其中 2.2 频率响应分析由小信号模型易知: 其中 3.电路参数计算3.1确定电流 根据摆率指标:

根据功耗指标易知: 根据带宽指标: 综上,取: 3.2宽长比的确定 M4与M5:电流源提供的电流为,参数设为,根据电流镜原理,可以算出 M2与M3: 带入数据可得 取值为20,则取 M0与M1:这两个PMOS管对交流性能影响不大,只要使其下方的

专用集成电路实验2---导线

实验2 导线特性 1 实验目的 了解导线的RC特性和建模方法。 2 实验内容 设某层金属阻值为0.086欧姆/方。金属层导线长度为1300um,宽度为0.13um;其集总电容为0.1pf。设信号源为1.2V,内阻1K欧姆。利用Elmore延时公式计算、并使用下列模型仿真此导线的延时(50%至50%)以及上升和下降时间(10%至90%)。 (1)一个电阻、一个电容的集总模型; (2) 3型RC模型; (3)T3型RC模型。 下面是当时编写的,自己都记不清了,问号是保存为记事本txt有问题。 (a) INVa .options list node post .model m1 pmos level=2 .model m2 nmos level=2 m1 out in vdd vdd?m1 L=0.250u?W=1.125u m2 out in 0? 0? m1 L=0.250u?W=0.375u cl out 0 1pf vdd vdd 0 dc 2.5 vin in 0 dc 2.5 .op .dc vin 0 2.5 0.5 .print dc v(in) v(out) .probe dc v(in) v(out) .END (b) INVb .options list node post

.model m1 pmos level=2 .model m2 nmos level=2 m1 out in vdd vdd?m1 L=0.250u?W=2.750u m2 out in 0? 0? m1 L=0.250u?W=0.375u cl out 0 1pf vdd vdd 0 dc 2.5 vin in 0 dc 2.5 .op .dc vin 0 2.5 0.5 .print dc v(in) v(out) .probe dc v(in) v(out) .END (c) INVc .options list node post .model m1 pmos level=2 .model m2 nmos level=2 m1 out in vdd vdd?m1 L=0.250u?W=1.125u m2 out in 0? 0? m1 L=0.250u?W=0.375u cl out 0 1pf vdd vdd 0 dc 2.5 vin in 0 dc vd .data vd_table 1 1.5 2.5 .enddata .op .tran 100p 100n .print tran v(in) v(out) .probe tran v(in) v(out) .END (d) INVc .options list node post .model m1 pmos level=2 .model m2 nmos level=2 m1 out in vdd vdd?m1 L=0.250u?W=0.750u m2 out in 0? 0? m1 L=0.250u?W=0.375u cl out 0 1pf vdd vdd 0 dc 2.5 vin in 0 dc 2.5

实验2指导书 组合逻辑电路的设计

组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路设计的一般概念和方法。 2.掌握集成组合逻辑电路的使用和设计方法。 3.学习EDA软件Quartus II的基本使用方法。 二、实验预习 阅读《电工电子实验教程》第6.3节中组合逻辑电路的内容。 打印实验指导书,预习实验的内容。 查阅相关芯片的数据手册,了解芯片的逻辑功能、引脚排列及外形结构,完成实验电路设计,画出原理电路,标明芯片型号和引脚。自拟实验步骤和数据表格。 三、实验设备与仪器 数字电路实验箱。 四、实验原理 使用中规模的集成电路设计组合逻辑电路的一般方法为: 第一步:从题目中完成逻辑抽象。把实际问题转换为可行的逻辑设计要求。 第二步:根据逻辑设计的要求建立输入、输出变量,并列出真值表。 第三步:用逻辑代数或卡诺图化简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。不一定要最简形式,应以所要使用的中规模集成芯片的逻辑功能为依据,把要产生的逻辑函数变换为与器件的逻辑函数式类似的形式。对于变换后的逻辑函数式与所选器件的逻辑函数式差别非常大的应考虑更换元器件类型。 第四步:根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。 第五步:用实验来验证设计的正确性。 设计组合逻辑电路的一般步骤如图1所示。 图1 组合逻辑电路设计流程图

五、实验内容 题目A:4人表决电路 设计一个4人表决电路,多数通过(即当四个输入端中有三个或四个为“1”时,输出端才能为“1”),用发光二极管显示表决结果,通过点亮,否决不亮。(要求选用与非门电路实现,74LS10和/或74LS20) 题目B:大月指示器电路 设计一个大月(该月份天数为31)指示器,四个二进制输入变量表示月份,发光二极管表示输出,若该月份月份为大月,则发光二极管亮,其它情况发光二极管不亮(注意任意项的处理,要求使用74LS00和74LS151)。 六、实验要求 从实验内容所列的题目中选择一个题目进行设计,使用中规模集成电路芯片完成设计,具体方案不限。要求确保电路可以完成题目功能,并使用尽可能少的器件。 列出真值表,写出逻辑表达式并根据设计要求进行化简(化简形式根据采用的器件逻辑功能自行决定),全部用门电路实现。 在数字实验系统中完成实际操作,利用实验箱上已连接好的开关电路获得所需的逻辑电平输入,LED指示灯电路完成结果显示。 自行设计测试表格,完成实际电路的测试。 实验室可提供的芯片有:74LS00、74LS10、74LS20、74LS151。 七、实验报告(本部分请附加空白页手写完成) 在实验报告中写出完整的设计思路和设计过程,越详细报告分数起评点越高,内容应包括建立逻辑变量、列真值表、逻辑化简、逻辑表达式变换、电路图设计等。 用要求的器件设计出电路,画出电路图。 列出元器件清单。 写出实验结果及分析。 写出实验体会总结。 有能力的同学可画出仿真电路图和仿真结果。

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

集成电路测试

第一章 集成电路的测试 1.集成电路测试的定义 集成电路测试是对集成电路或模块进行检测,通过测量对于集成电路的输出回应和预期输出比较,以确定或评估集成电路元器件功能和性能的过程,是验证设计、监控生产、保证质量、分析失效以及指导应用的重要手段。 .2.集成电路测试的基本原理 输入Y 被测电路DUT(Device Under Test)可作为一个已知功能的实体,测试依据原始输入x 和网络功能集F(x),确定原始输出回应y,并分析y是否表达了电路网络的实际输出。因此,测试的基本任务是生成测试输入,而测试系统的基本任务则是将测试输人应用于被测器件,并分析其输出的正确性。测试过程中,测试系统首先生成输入定时波形信号施加到被测器件的原始输入管脚,第二步是从被测器件的原始输出管脚采样输出回应,最后经过分析处理得到测试结果。 3.集成电路故障与测试 集成电路的不正常状态有缺陷(defect)、故障(fault)和失效(failure)等。由于设计考虑不周全或制造过程中的一些物理、化学因素,使集成电路不符合技术条件而不能正常工作,称为集成电路存在缺陷。集成电路的缺陷导致它的功能发生变化,称为故障。故障可能使集成电路失效,也可能不失效,集成电路丧失了实施其特定规范要求的功能,称为集成电路失效。故障和缺陷等效,但两者有一定区别,缺陷会引发故障,故障是表象,相对稳定,并且易于测试;缺陷相对隐蔽和微观,缺陷的查找与定位较难。 4.集成电路测试的过程 1.测试设备 测试仪:通常被叫做自动测试设备,是用来向被测试器件施加输入,并观察输出。测试是要考虑DUT的技术指标和规范,包括:器件最高时钟频率、定时精度要求、输入\输出引脚的数目等。要考虑的因素:费用、可靠性、服务能力、软件编程难易程度等。 1.测试界面 测试界面主要根据DUT的封装形式、最高时钟频率、ATE的资源配置和界面板卡形等合理地选择测试插座和设计制作测试负载板。

集成电路设计实验指导书

《集成电路设计技术》 实验指导书 编写人:方 元 电子电气工程系 2012年2月

前言 Verilog HDL就是在用途最广泛的C语言的基础上发展起来的一种硬件描述语言,它是由GDA(Gateway Design Automation)公司的PhilMoorby在1983年末首创的,最初只设计了一个仿真与验证工具,之后又陆续开发了相关的故障模拟与时序分析工具。1985年Moorby推出它的第三个商用仿真器Verilog-XL,获得了巨大的成功,从而使得Verilog HDL迅速得到推广应用。1989年CADENCE公司收购了GDA公司,使得Verilog HDL成为了该公司的独家专利。1990年CADENCE公司公开发表了Verilog HDL,并成立LVI组织以促进Verilog HDL 成为IEEE标准,即IEEE Standard 1364-1995。 本实验是在学生拥有集成电路设计概念以及数字电路理论学习的基础上,通过ModelSim EDA仿真工具,编写Verilog HDL语言。这有助于学生们对于课堂上所学习的Verilog HDL语言有一个深入的了解,并最终使得学生们能够学会该语言的基本语法,能够编写一些简单的模块。若今后从事集成电路设计工作,能够通过本次实验初窥门径,为今后的工作打下基础。 通过实验能够掌握Verilog HDL语言的基本语法,基本模块,为以后更加深入的学习数字集成电路设计打下基础。同时,让学生们使用最简单的HDL逻辑仿真工具ModelSim,对EDA工具的使用有一个基本概念,这样在学习其他EDA 工具时就不会那么生疏。 本实验通过4次实验,让同学们掌握Verilog模块化设计的理念,学会使用ModelSim软件,学会通过波形图查看电路的时序与逻辑是否正确。

相关文档
相关文档 最新文档