文档库 最新最全的文档下载
当前位置:文档库 › 数字逻辑电路设计,红绿灯

数字逻辑电路设计,红绿灯

数字逻辑电路设计,红绿灯
数字逻辑电路设计,红绿灯

《数字逻辑电路设计》课程设计总结报告

题目:红绿灯控制器

指导老师:罗强

设计人员:徐才胜

学号:0121411370315

班级:电气ZY1401班

日期:2016年6月

目录

一、设计任务书

二、设计框图及整机概述

三、各单元电路的设计方案及原理说明

四、调试过程及结果分析

五、设计、安装及调试中的体会

六、对本次课程设计的意见及建议

七、附录(包括:整机逻辑电路图及元器件清单)

一、设计任务书

一、题目:红绿灯控制器

二、设计要求设计一个红绿灯控制器设计应具有以下功能

设计要求:设计一个红绿灯

(1)主道方向绿灯亮(如30s),支道方向红灯亮。

(2)主道方向黄灯亮(如5s),支道方向红灯亮。

(3)主道方向红灯亮(如20s),支道方向绿灯亮。

(4 ) 主道方向红灯亮(如5s),支道方向黄灯亮。

要求有时间显示(顺数、逆数皆可),时间自定。(大于20秒以上)可添加其他能。

实验器材:推荐使用74ls192,74ls74,cd4511,各种逻辑门芯片,数码管等常用且功能灵活的芯片。

三、给定条件

1.只能采用实验室提供的中小规模电路进行设计。(不一定是实

验用过的)

支道

主道

十字路口交通示意图

二、设计框图及整机概述

1、设计框图

2、整机概述

上图是整个交通灯电路的设计框图。首先,脉冲发生电路

(秒信号产生单元)是用来给计数芯片产生脉冲的,以便计数芯片可以正常的工

作,可以直接使用试验箱上的秒信号产生单元。红绿灯控制系统是整个电路的核心,

它控制着红绿灯的亮灭、数码管的显示以及控制计数时间,所以对于这个部分的设计是非常重要的。计数系统我们可以用计数芯片

192来实现,

采用置数或者置零的方法都可以让实现某一个时间段的计数。译码显示系统

是用来显示时间的,

它将计数系统所记的时间用数码管显示出来,以便我们可以更清楚的知道红绿灯亮灭的时间。状态计数模块可以控制整个电路的状态显示,显示主支路上的红绿灯。最后的红绿灯部分用三个红色发光二极管将我们所设计的电路功能直观的表现出来。

三、各单元电路的设计方案及原理说明

脉冲发生电路:正常情况下用555定时器产生秒信号,本次试验中直接采用试验箱上的信号产生单元

译码显示单元:由专用数码管显示译码器和数码管组成,实现将计数器上的信号显示出来的功能,本次试验中会直接采用试验箱上的译码器

状态控制系统:

状态计数机的设计(4状态基于2个D触发器)

两个D触发器维持四种所需要的状态(S0为低位,S1为高位)。

计数系统:

(1)主道方向绿灯亮(如30s),支道方向红灯亮。(状态1,S1S0=11) (2)主道方向黄灯亮(如5s),支道方向红灯亮。(状态2,S1S0=10)

(3)主道方向红灯亮(如20s),支道方向绿灯亮。(状态3,S1S0=01) (4 ) 主道方向红灯亮(如5s),支道方向黄灯亮。(状态4,S1S0=00) 灯亮为1,灯灭为0

我们利用74LS192进行该电路图的设计,利用两块芯片作为显示器的高位和低位。该芯片的功能表如下图:

如图:11为置数端,4为减计数端,5为加计数端,12为非同步进位输出端,13为非同为借位输出端,MR为清楚段,P0,P1,P2,P3为计数器输入端,Q1,Q2,Q3,Q4为数据输出端。上图为其功能表。由于本次是减计数,所以采用4,13端口的功能进行减计数的相关运算。由此,我们得出状态表和逻辑表达式。如下:

计数系统每对应一种状态,就会设置一种时间,对应如上表。

高D=0高C=0高B=S1S0+S1'S0=S0

高A=S1S0

低D=0低C=S1S0'+S1'S0'=S0'低B=0

低A=S1S0'+S1'S0'=S0'

计数系统和显示系统对应的电路图:

状态控制系统:

状态计数机的设计(4状态基于2个D触发器)

两个D触发器维持四种所需要的状态(S0,S1)。红绿灯控制系统设计(得出逻辑表达式):

主红=S1'S0+S1'S0'=S1'主黄=S1S0'主绿=S1S0

支红=S1S0+S1S0'=S1支黄=S1'S0'支绿=S1'S0

S0,S1分别为Q1,Q2的输出端。S0’,S1’分别是S1,S2的非。

四、调试过程及结果分析

(1)进行计数系统电路的接线与调试。当输人1Hz的时钟脉冲信号时,要求电路能进行减计时,

(2)进行交通灯控制器以及显示部分的接线与调试。

(3)最后进行交通灯(即发光二极管)的接线与调试;

(4)判断各部分电路之间的时序配合关系。然后检查电路各部分的功能,使其满足设计要求。若是出现了错误,则一个部分一个部分的检查电路,找出问题,解决问题。

最终调试如下:

接上电源,便可以进行交通灯控制系统的仿真,电路默认把通车时间设为25秒,主道方向绿灯亮(30s),支道方向红灯亮。(状态1,S1S0=11),主道方向黄灯亮(5s),支道方向红灯亮。(状态2,S1S0=10),主道方向红灯亮(20s),支道方向绿灯亮。(状态3,S1S0=01),主道方向红灯亮(5s),支道方向黄灯亮。(状态4,S1S0=00),灯亮为1,灯灭为0,如此循环下去。

五、接线调试中的体会

1.在接线过程中,掌握一定的原则,有先后顺序。各模块之间的接线关系必须要明确,接线过程中必须注意美观性还有课检查性。

2.在接线前必须好好熟悉自己所画电路原理图,理清各个部分,各个元件之间的关系,这样才能更好,更快的接线。

3.在调试过程中,必须要好好检查线是不是接上,是不是接错,不然

可能出现一些意外的情况。

4.调试时候必须等所有的东西跑完一圈之后才能确认正确的,防止意外情况发生.

5.每次接线前必须检查线的好坏,芯片功能的好坏,这样才能更加高效的做实验。

六、对本次课程设计的意见及建议

本次设计实验总结了数字电子技术基础的所有大型重要知识点,对于培养我们的开阔性思维以及全局性思维,具有重要意义。

但是该实验项目比较老套,所以网上有很多已知的东西可以进行参考,虽然是好处也是坏处。老师可以给出一些新的题目来给我们设计操作,从而更加能增加我们的创造性。

七、附录(包括:整机逻辑电路图及元器件清单)

整机逻辑图:

元器件清单:电源、输入脉冲、74LS192计数芯片两片、BCD显示数码管三片、74LS08两输入与门一片,74LS04单输入非门一片,74LS32两输入或门一片,红色发光二极管六个。

十字路口红绿灯控制系统讲解

课 程 设 计 2015 年 7 月 30 日 设计题目 学 号 专业班级 学生姓名指导教师 十字路口自动红绿灯指挥系统

目录 一、主要指标及要求 (1) 二、方案选择 (1) 三、工作原理分析 (1) 四、单元模块设计及分析 (2) 4.1时钟信号脉冲发生器设计 (2) 4.2定时器设计 (4) 4.3 延时电路设计 (5) 4.4状态转换电路设计 (6) 4.5置数组合逻辑设计 (7) 五、总电路图 (9) 六、设计心得 (9) 七、参考文献 (10)

十字路口自动红绿灯指挥系统 班级:指导老师: 学生: 学号: 一、主要指标及要求 1.自动完成绿-黄-红-绿-……工作循环; 2.每种信号灯亮的时间不等,如:绿灯亮20秒-黄灯亮5秒-红灯亮15秒,如此循环; 3.用倒计时的方法,数字显示当前信号的剩余时间,提醒行人和司机; 4.(*) 信号灯的时间分别可调,以适应不同路口,不同路段交通流量的需求。 二、方案选择 三、工作原理分析 本电路分为五个模块,即时钟信号脉冲发生器、定时器、延时电路、状态转换电路、置数组合逻辑电路。其中由555定时器组成的时钟信号脉冲发生器为由两片74LS192计数器组成的定时器电路提供1Hz的脉冲信号,使计时器能够正常计数。由三片双四选一数据选择器组成的置数组合逻辑电路分别为计数器置

19s、4s、14s和0s等不同的数。当计数归零时,计数器的溢出信号使双D触发器的状态发生跳转,同时控制着绿黄红灯的亮灭,使得绿黄红灯亮时,定时器分别置19s、4s、14s。延时电路起到延时作用,当计数器计数归零时,溢出信号通过延时电路先使触发器状态发生翻转,再加载LD信号,使计数器置一个新数。 四、单元模块设计及分析 4.1时钟信号脉冲发生器 时钟信号脉冲发生器选用555定时器主要用来产生秒脉冲信号。脉冲信号的频率可调,所以可以采用555组成多谐振荡器,其输出脉冲作为下一级的时钟信号。555定时器是一种模拟和数字功能相结合的中规模集成器件。555定时器的电源电压范围宽,可在5~16V工作,最大负载电流可达200mA。555定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。 555定时器构成多谐振荡器,组成信号产生电路接通电源后,VCC通过电阻R1、R2给电容C充电,充电时间常数为(R1+R2),电容上的电压vC按指数规律上升,当上升到VREF1=2VCC/3时,比较器C1输出高电平,C2输出低电平,RS=10,触发器被复位,放电管T28导通,此时v0输出低电平,电容C开始通过R2放电,放电时间常数约为R2C,vC下降,当下降到VREF2=VCC/3时,比较器C1输出低电平,C2输出高电平,RS=01,触发器被置位,放电管T28截止,v0输出高电平,电容C又开始充电,当vC上升到时VREF1=2VCC/3,触发器又开始翻转。如此周而复始,输出矩形脉冲。其电路原理图如下:

【参考借鉴】数字逻辑电路习题集.doc

第一章数字逻辑电路基础 一、填空题 1、模拟信号的特点是在 和 上都是 变化的。(幅度、时间、连续) 2、数字信号的特点是在 和 上都是 变化的。(幅度、时间、不连续) 3、数字电路主要研究 与 信号之间的对应 关系。(输出、输入、逻辑) 4、用二进制数表示文字、符号等信息的过程称为_____________。(编码) 5、()11011(2= 10),()1110110(2= 8),()21(10= 2)。(27、16 6、10101) 6、()101010(2= 10),()74(8= 2),()7(16=D 2)。(42、111100、11010111) 7、最基本的三种逻辑运算是 、 、 。(与、或、非) 8、逻辑等式三个规则分别是 、 、 。(代入、对偶、反演) 9、逻辑函数化简的方法主要有 化简法和 化简法。(公式、卡诺图) 10、逻辑函数常用的表示方法有 、 和 。(真值表、表达式、卡诺图、逻辑图、波形图五种方法任选三种即可) 11、任何一个逻辑函数的 是唯一的,但是它的 可有不同的形式,逻辑函数的各种表示方法在本质上是 的,可以互换。(真值表、表达式、一致或相同) 12、写出下面逻辑图所表示的逻辑函数R= 。(C B A Y )(+=) 13、写出下面逻辑图所表示的逻辑函数R= 。())((C A B A Y ++=) 14、半导体二极管具有 性,可作为开关元件。(单向导电) 15、半导体二极管 时,相当于短路; 时,相当于开路。(导通、截止) 16、半导体三极管作为开关元件时工作在 状态和 状态。(饱和、截止) 二、判断题 1、十进制数74转换为8421BCD 码应当是BCD 8421)01110100(。(√)

红绿灯控制电路设计

GD? EE3 微电子制造工程专周设计报告 课题:红绿灯控制电路 指导教师:张松 设计人员:胡发恩 班级:1206012 学号:31 完成日期:2014年6月26日

T>i r ■-1A £ C T CT-i 空強凄抖WK超 I号吕君岳誓負3 口工^A- .a Jjt. 「氏N 1> E Y A 闵 r E pi卜卜卜 |cD 曰=Ki卜 l-_* 4 3 口 fu 「门 S弔 匚F E兰 吉弓吕狞后罕巳H 可田D C B A tJ P 国[2 D C B A t=i "ni w K丐 ?C o耶 -4 6 - > ! 4 思宾呀整HR* 乂賢三萤灾詈忘 o— O FT 、设计思路

1、题目:红绿灯控制器 2、设计要求具有以下功能 (1)东西方向红灯亮,南北方向绿灯亮;(2)东西方向红灯亮,南北方向黄灯亮;(3)东西方向绿灯亮,南北方向红灯亮;(4)东西方向黄灯亮,南北方向红灯亮。 有时间显示(顺时),时间(红灯时间大于15 秒)3、设计条件 根据实验室提供的小规模电路进行设计。 || ——.00 —— I ?字路口交通示意图 二、设计框图和概述1、设计框图

计数系统 2、整体概述 首先,脉冲发生电路是用来给计数芯片产生脉冲的,以便计数芯 片可以正常工作。控制系统使整个电路的核心,它控制着红绿灯的亮灭、数码管的显示以及控制计数时间。技术系统我可以用74LS160 和74LS161来实现,采用置数或者清零的方法都可以实现某一时间段的计数。译码系统是用来显示时间的,它将技术系统所记录的时间用数码管显示出来,以便更清楚的知道红绿灯亮灭的时间。最后的红绿灯部分用红黄绿三种发光二极管将设计的电路功能直观的表达出来。 三、各单元电路的设计方案及原理说明 1、脉冲发生电路: 我用555定时器实现产生脉冲的功能。 如下图,电容C被充电,当V上升到2V CC 时,使为低电平,同时放 3 电三极管T导通,此时电容C通过R2和T放电,V下降。当V下降到V|C时,V 翻转为高电平。当放电结束时,T截止,V CC将通过R、

数字逻辑设计习题第4章

第4章 组合逻辑电路 4—1 分析下图所示电路的逻辑功能,写出输出的逻辑表达式,列出真值表,说明其逻辑功能。 4—2 逻辑电路如下图所示: 1、写出S 、C 、P 、L 的函数表达式; 2、当取S 和C 作为电路的输出时,此电路的逻辑功能是什么? 4—3 下图是由三个全加器构成的电路,试写出其输出1F ,2F ,3F ,4F 的表达式。 123 B C Z

P和4—4 下图是由3线/8线译码器74LS138和与非门构成的电路,试写出 1 P的表达式,列出真值表,说明其逻辑功能。 2 Array 4—5使用74LS138 译码器及少量门电路对三台设备状态进行监控,由不同指示灯进行指示。当设备正常工作时,指示灯绿灯亮;当有一台设备出故障时,指示灯红灯亮;当有两台设备出故障时,指示灯黄灯亮;当有三台设备 出故障时,指示灯红灯和黄灯都亮。

4—6 下图4.6是由八选一数据选择器构成的电路,试写出当1G 0G 为各种不同的取值时的输出Y 的表达式。 4—7仿照全加器设计一个全减器,被减数为A ,减数为B ,低位来的借位为C ,差为D ,向上借一位为J 。 要求:1.写出真值表,写出D 与J 的表达式;2.用译码器74LS138和必要的基本门电路实现此电路;3.用双四选一数据选择器实现。 G A

4—8 设计一组合逻辑电路,输入为四位二进制码3B 2B 1B 0B ,当 3B 2B 1B 0B 是BCD8421码时输出1=Y ;否则0=Y 。列出真值表,写出 与或非表达式,用集电极开路门实现。 4—9 设计一个多功能组合数字电路,实现下表所示逻辑功能。表中1C 0C 为功能选择输入信号;A ,B 为输入变量;F 为输出。 1.列出真值表,写出F 的表达式; 2.用八选一数据选择器和门电路实现。

十字路口红绿灯控制系统

课 程 设 计 2015 年 7 月 30 日 设计题目 学 号 专业班级 学生姓名指导教师

目录 一、主要指标及要求 (1) 二、方案选择 (1) 三、工作原理分析 (1) 四、单元模块设计及分析 (2) 4.1时钟信号脉冲发生器设计 (2) 4.2定时器设计 (4) 4.3 延时电路设计 (5) 4.4状态转换电路设计 (6) 4.5置数组合逻辑设计 (7) 五、总电路图 (9) 六、设计心得 (9) 七、参考文献 (10)

十字路口自动红绿灯指挥系统 班级:指导老师: 学生: 学号: 一、主要指标及要求 1.自动完成绿-黄-红-绿-……工作循环; 2.每种信号灯亮的时间不等,如:绿灯亮20秒-黄灯亮5秒-红灯亮15秒,如此循环; 3.用倒计时的方法,数字显示当前信号的剩余时间,提醒行人和司机; 4.(*) 信号灯的时间分别可调,以适应不同路口,不同路段交通流量的需求。 二、方案选择 三、工作原理分析 本电路分为五个模块,即时钟信号脉冲发生器、定时器、延时电路、状态转换电路、置数组合逻辑电路。其中由555定时器组成的时钟信号脉冲发生器为由两片74LS192计数器组成的定时器电路提供1Hz的脉冲信号,使计时器能够正常计数。由三片双四选一数据选择器组成的置数组合逻辑电路分别为计数器置19s、4s、14s和0s等不同的数。当计数归零时,计数器的溢出信号使双D触发器的状态发生跳转,同时控制着绿黄红灯的亮灭,使得绿黄红灯亮时,定时器分别置19s、4s、14s。延时电路起到延时作用,当计数器计数归零时,溢出信号通过延时电路先使触发器状态发生翻转,再加载LD信号,使计数器置一个新数。 四、单元模块设计及分析 4.1时钟信号脉冲发生器 时钟信号脉冲发生器选用555定时器主要用来产生秒脉冲信号。脉冲信号的频率可调,所以可以采用555组成多谐振荡器,其输出脉冲作为下一级的时钟信号。555定时器是一种模拟和数字功能相结合的中规模集成器件。555定时器的电源电压范围宽,可在5~16V工作,最大负载电流可达200mA。555定时器成本低,性能可靠,只需要外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。 555定时器构成多谐振荡器,组成信号产生电路接通电源后,VCC通过电阻R1、R2给电容C充电,充电时间常数为(R1+R2),电容上的电压vC按指数规律上升,当上升到VREF1=2VCC/3时,比较器C1输出高电平,C2输出低电平,RS=10,

(整理)《数字逻辑电路》试题2.

一、选择题(每小题1.5分) 第一章: 1. 带符号位二进制数10011010的反码是( )。 A. 11100101 B. 10011010 C. 10011011 D. 11100110 2. 十进制数5对应的余3码是( )。 A. 0101 B. 1000 C. 1010 D. 1100 3. 二进制代码1011对应的格雷码是( )。 A. 1011 B. 1010 C. 1110 D. 0001 第二章: 1. 下列公式中哪一个是错误的? ( ) A. A A 0=+ B. A A A =+ C. B A )B A ('+'='+ D. )C A )(B A (BC A ++=+ 2. 下列各式中哪个是三变量A 、B 、C 的最小项? ( ) A. B A '' B. C B A +'+' C.ABC D. C B '+' 3. 下列函数中不等于A 的是( )。 A. A +1 B. A +A C. A +AB D. A (A +B ) 4. 在逻辑代数的加法运算中,1+1=( )。 A. 2 B. 1 C. 10 D. 0 5. A ⊕1=( )。 A. A B. 1 C. A ' D. 0 6. 含有A 、B 、C 、D 四个逻辑变量的函数Y=A+B+D 中所含最小项的个数是( )。 A. 3 B. 8 C. 14 D. 16 7. 下列函数中等于AB 的是( )。 A. (A +1)B B. (A +B )B C. A +AB D. A (AB ) 8. 为了将600份文件顺序编码,如果采用二进制代码,最少需要用( )位。 A. 3 B. 10 C. 1024 D. 600 9. 为了将600个运动员顺序编码,如果采用八进制代码,最少需要用( )位。 A. 3 B. 4 C. 10 D. 75 第三章:

交通灯控制电路设计.doc

百度文库 长沙学院 电子技术 课程设计说明书 题目交通灯控制电路设计 系( 部) 电子信息与电气工程系 专业 ( 班级 ) 电气工程及其自动化 姓名龙欣 学号B214 指导教师张海涛 起止日期 电子技术课程设计任务书(27) 系(部):电子信息与电气工程系专业:电气工程及其自动化指导教师:张海涛课题名称 交通灯控制电路设计

百度文库 由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅速地通行,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯亮禁止通行; 绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠在禁行线外。实现红、绿灯的 自动指挥对城市交通管理现代化有着重要的意义。 设设计要求: 1.掌握交通灯控制电路的设计、组装与调试方法。 计 2.熟悉数字集成电路的设计和使用方法,能够运用所学知识设计一定规模的电路。 内 设计任务: 容 1.用红、绿、黄三色发光二极管作信号灯。 及 2.当主干道允许通行亮绿灯时,支干道亮红灯,而支干道允许亮绿灯时,主干道亮红 要 灯。 求 3.主支干道交替允许通行,主干道每次放行30s、支干道20s。设计 30s 和20s 计时 显示电路。 4.在每次由亮绿灯变成亮红灯的转换过程中间,要亮 5s 的黄灯作为过渡,以使行驶中 的车辆有时间停到禁止线以外,设置5s 计时显示电路。 1、系统整体设计; 设 2、系统设计及仿真; 计 3、在 Multisim 或同类型电路设计软件中进行仿真并进行演示; 工 作 4、提交一份完整的课程设计说明书,包括设计原理、仿真分析、调试过程,量参考文献、设计总结等。 起止日期(或时间量)设计内容(或预期目标)备注 进 第一天课题介绍,答疑,收集材料 第二天设计方案论证 度 安第三天进行具体设计 排 第四天进行具体设计 第五天编写设计说明书 指导老师 月教研室 年日 意见意见 年月日 长沙学院课程设计鉴定表 姓名龙欣学号B214专业电气班级 2

课程设计-基于PLC控制的交通红绿灯系统设计

《机电一体化》课程设计交通红绿灯PLC控制系统 班级:工学院机电1003班 指导老师: _________ 小组成员: __________________________ __________________________ __________________________ 日期: 2013年6月28日

【摘要】随着社会经济的快速发展和人们消费水平的不断提高,私家车不断增加,城市人多、车多道路少的交通状况越来越引起人们的关注。为了实现交通道路的管理,在各个道口安装红路灯已经成为了疏导交通车辆最为常见和最有效的手段。PLC控制系统可以实现了按车流量规模给定绿灯时长,达到最大限度的车辆放行,减少十字路口的车辆滞流,缓解交通拥挤以实现最优控制,从而提高交通控制系统的效率。 PLC具有结构简单、编程方便、可靠性高等优点,并广泛用于工业过程的自动控制中。由于PLC具有对使用环境适应性强的特性,同时其内部的定时器资源十分丰富,可对目前较为普遍使用的“渐进式”信号灯进行精确控制,能够方便实现对多岔路口红绿灯的控制,因此PLC被越来越多地应用于交通灯系统中。 PLC还具有通讯联网功能,可将同一条道路上的信号灯连成一局域网进行统一调度管理,缩短车辆通行等候时间,实现科学化管理。在实时检测和自动控制PLC应用系统中,PLC大都是作为一个核心部件来设计使用的。 【关键词】 PLC;交通灯;控制系统

目录 第一章绪论 (1) 1.1 PLC及WinCC介绍 (1) 1.1.1 PLC简单概述 (1) 1.1.2 WinCC介绍 (2) 1.2 十字路口交通灯控制任务 (3) 1.3 研究目的和意义 (4) 1.4 方案设计 (4) 第二章交通信号控制系统实况 (5) 2.1十字路口交通灯控制实际情况描述 (5) 2.1.1 控制任务要求 (5) 2.2 结合十字路口交通灯的路况画出模拟图 (5) 2.3交通灯控制流程图 (6) 第三章可编程控制器程序设计 (7) 3.1可编程控制器I/O端口分配 (7) 3.2 PLC的外部接线图 (7) 3.2.1输入/输出接线列表 (7) 3.2.2 PLC外部接线原理图 (7) 3.3程序梯形图及其说明 (8) 第四章十字路口交通灯的组态控制过程 (12) 4.1工程的建立和变量定义 (12) 4.1.1 工程的建立 (12) 4.1.2 变量的定义 (12) 4.2组态画面的建立 (12) 4.3 MOVEX1~MOVEY2的脚本编辑 (13) 第五章小组总结 (15) 参考文献 (15) 附表:PLC梯形图指令表 (16) 附图:交通红绿灯PLC控制系统实验相片 (18)

简易交通灯电路设计

课程设计说明书 课程设计名称:数字逻辑课程设计 课程设计题目:简易交通灯电路设计 学院名称:信息工程学院 专业:计算机科学与技术班级: 学号:姓名: 评分:教师: 20 10 年9月16 日

摘要 随着社会经济快速发展以及现代社会人口的增加,顺应各种交通工具的发展和交通指挥的需要,加强交通道路管理,减少交通事故的发生,提高道路使用效率,此时交通灯便应运而生。它已逐渐成为改善交通状况的一种重要工具。因此设计交通灯电路对我们的生活息息相关。 本次课题设计采用NE555产生计时信号,定时给出方波脉冲信号,同时采用CD4017集成芯片实现三种信号灯的自动循环功能,以及利用或门真值表功能实现简易交通灯的设计。此简易交通灯可以而且仅可以控制一条交通道路,主要实现红,黄,绿,红,黄,绿单向交通灯循环控制,但是同样可以改动电路从而实现红,黄,绿,黄,绿双向交通灯循环控制;而在时间控制上,红,黄,绿亮灯时间上取为2:1:2。 经过一系列分析准备,本次课题设计除了在手动控制上存在一些不足外,已完成电路设计要求。 关键字:循环控制计时电路译码电路 NE555 CD4017

目录(页码要调整下) 前言 (4) 第一章设计内容与要求 (5) 第二章简易交通灯设计方案 (5) 2.1基本要求设计方案..........................................,5 2.2提高要求设计方案..........................................,6 第三章系统组成及工作原理. (6) 3.1 系统组成 (6) 3.2 工作原理 (7) 第四章简易交通灯设计方案单元模块电路设计 (8) 4.1电源电路 (8) 4.2译码电路 (9) 4.3 光源电路 (10) 4.3.1红黄绿单向循环 (10) 4.3.2红黄绿黄红双向循环 (11) 第五章实验调试和分析 (12) 结论 (13) 参考文献 (14) 附录一 (14) 附录二 (15) 附录三 (16)

数字逻辑与数字系统设计课程大纲

“数字逻辑与数字系统设计”教学大纲 课程编号:OE2121017 课程名称:数字逻辑与数字系统设计英文名称:Digital Logic and Digital System Design 学时:60 学分:4 课程类型:必修课程性质:专业基础课 适用专业:电子信息与通信工程(大类)开课学期:4 先修课程:高等数学、大学物理、电路分析与模拟电子线路 开课院系:电工电子教学基地及相关学院 一、课程的教学任务与目标 数字逻辑与数字系统设计是重要的学科基础课。该课程与配套的“数字逻辑与数字系统设计实验”课程紧密结合,以问题驱动、案例教学、强化实践和能力培养为导向,通过课程讲授、单元实验、综合设计项目大作业、设计报告撰写、研讨讲评等环节,实现知识能力矩阵中1.1.2.2、1.2.1.2以及2.5、2.6、3.6、4.1、4.2的能力要求。 要求学生掌握数字电路的基本概念、基本原理和基本方法,了解电子设计自动化(EDA:Electronic Design Automation)技术和工具。数字电路部分要求学生掌握数制及编码、逻辑代数及逻辑函数的知识;掌握组合逻辑电路的分析与设计方法,熟悉常用的中规模组合逻辑部件的功能及其应用;掌握同步时序逻辑电路的分析和设计方法,典型的中大规模时序逻辑部件。EDA设计技术部分,需要了解现代数字系统设计的方法与过程,学习硬件描述语言,了解高密度可编程逻辑器件的基本原理及开发过程,掌握EDA 设计工具,培养学生设计较大规模的数字电路系统的能力。 本课程教学特点和主要目的: (1)本课程概念性、实践性、工程性都很强,教学中应特别注重理论联系实际和工程应用背景。 (2)使学生掌握经典的数字逻辑电路的基本概念和设计方法; (3)掌握当今EDA工具设计数字电路的方法。 (4)本课将硬件描述语言(HDL)融合到各章中,并在软件平台上进行随堂仿真, 通

出入口红绿灯智能控制系统说明

出入口红绿灯智能控制系统说明 停车场红绿灯智能控制系统主要是运用在: 双向通行、中间不能会车的通道,根据单向通道的长度、能见度以及现场情况,可以实现多种方案的控制功能。其中常见两种控制方式有以下两种: 一、单辆车通行控制方式 本方案适用于: 通道较短,对进、出车辆的通行效率要求不高、单车道双向通行通道的红绿灯控制。其中控制方式说明如下: 1、当入口没有车辆进入或外出时,入口和出口的两端均为绿灯亮,表示车辆可以刷卡进入或外出; 2、当入口车辆先压到入口车辆检测器时: (即车辆进入方向优先时) 出、入口立即变为红灯,禁止其他车辆进入该通道,当车辆经过出口红绿灯检测器后,出、入口两端重新恢复为绿灯; 3、当出口有车辆外出比入口先压到出口车辆检测器时: (即车辆外出优先时) 出、入口立即变为红灯,禁止其他车辆进入该通道,当车辆经过入口红绿灯检测器后,出、入口两端重新恢复为绿灯; 4、系统具有自动复位、及人工强行复位功能,当红绿灯智能引导系统因为特殊原因误判车辆长时间在出入口通道内时(此时出入口均为红灯亮,严禁车辆进出通行),系统能够根据现场设定的系统复位时间,自动(或人工手动、遥控器遥控等方式)将出入口红绿灯复位,重新将出入口复位到绿灯亮的初始状态; 二、连续进车通行控制方式

本方案适用于: 通道比较狭长,同时由于拐弯或其他原因造成进出口车辆不能相互看到,为了提高通道的通行效率,可以在单方向优先的前提下,单向连续进车通道的红绿灯智能控制系统。其中控制方式如下: 1、出入口两边没有车辆压到车辆检测器时,出口、入口两边的绿灯亮; 2、入口车辆先压到车辆检测器时: (即车辆进入优先时)入口绿灯亮,同时出口红灯亮,让车通行;当入口一侧检测到车辆驶离入口并进入狭长通道后系统开始对进入通道的车辆计数,入口绿灯仍亮保持不变,车辆可以连续进入,当车辆压到出口车辆检测器并驶离通道后,系统自动对通道内剩余的车辆计数,并在确保从入口进入通道内部的所有车辆全部都驶出后,系统自动将出、入口同时恢复为绿灯亮。 3、出口车辆先压到车辆检测器时: (即车辆外出优先时)出口绿灯亮,同时入口红灯亮;让车通行;当出口一侧检测到车辆驶离出口并进入狭长通道后系统开始对进入通道的车辆计数,出口绿灯仍亮保持不变,车辆可以连续外出,当车辆压到入口车辆检测器并驶离通道后,系统自动对通道内剩余的车辆计数,并在确保从出口进入通道内部的所有车辆全部都驶出后,系统自动将出、入口同时恢复为绿灯亮。 4、系统具有自动复位、及人工强行复位功能,当停车场红绿灯智能控制系统因为特殊原因误判车辆长时间在出入口通道内时(本系统无论是在进优先还是出优先的情况下,都可以根据您设定的时间,以最后一辆进入通道的车辆开始计时,超过设定的时间后系统仍然没有驶出通道,系统将强行复位位),系统能够根据现场设定的系统复位时间,自动(或人工手动、遥控器遥控等方式)将出入口红绿灯复位,重新将出入口复位到绿灯亮的初始状态; 5、以上功能仅为参考,可根据用户的要求进行全方位、多功能的任意调整,直至采用最适用现场通行条件的系统方案。 6、如果在本通道内同时安装自动刷卡系统并且道闸也安装在通道内时,那么您就要千万注意并考虑到一个问题,否则就会出现。。。。。

交通灯电路设计

第三章数字电路课程设计 课程设计1:交通灯逻辑控制电路设计 一、简述: 为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。交通灯控制电路的系统框图如图3.1.1所示: 图3.1.1 交通灯控制器系统框图 二、设计任务和要求 设计一个十字路口交通信号灯控制器。基本要求如下: 1.满足图3.1.2顺序工作流程。图中设南北方向的红、黄、绿灯分别为NSR、NSY、NSG,东西方向的红、黄、绿灯分别为EWR、EWY、EWG。它们的工作方式有些必须是并行进行的,即南北方向绿灯亮,东西方向红灯亮;南北方向黄灯亮,东西方向红灯亮;南北方向红灯亮,东西方向绿灯亮;南北方向红灯亮,东西方向黄红灯亮。 2.应满足两个方向的工作时序:即东西方向亮红灯时间应等于南北方向亮黄、绿灯时间之和,南北方向亮红灯时间应等于东西方向亮黄、绿灯时间之和。时序工作流程图3.1.3所示。图3.1.3中,假设每个单位时间为3秒,则南北、东西方向绿、黄、红灯亮时间分别15秒、3秒、18秒,一次循环为36秒。其中红灯亮

的时间为绿灯、黄灯亮的时间之和。 图3.1.3 交通灯时序工作流程图 3.十字路口要有数字显示,作为时间提示,以便人们更直观地把握时间。具体为:当某方向绿灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到绿灯灭为止;当黄灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到黄灯灭为止;当红灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到红灯灭为止。例如:假设每个单位时间为3 秒,当南北方向从红灯转换成绿灯时,置南北方向数字显示为0,并使数显计数器开始加“1”计数,当加到绿灯灭而黄灯亮时,数显的值应从14跳回到0,同时黄灯亮,黄灯计数,当数显值从2跳到0时,此时黄灯灭,而南北方向的红灯亮;红灯计数加“1”计数,当加到红灯灭时,数显的值应从17跳回到0。同时,使得东西方向的绿灯亮,并置东西方向开始计数。 4.扩展功能: (1)用LED 发光二极管模拟汽车行驶电路。当某一方向绿灯亮时,这一方向的1 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 NSG t

基于单片机的智能交通红绿灯控制系统设计

1选题背景 今天,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。在交通中管理引入单片机交通灯控制代替交管人员在交叉路口服务,有助于提高交通运输的安全性、提高交通管理的服务质量。并在一定程度上尽可能的降低由道路拥挤造成的经济损失,同时也减小了工作人员的劳动强度。 关键词:AT89C51;7448,LED 2方案论证 2.1设计任务 设计基于单片机的智能交通红绿灯控制系统,要求能通过按键或遥控器设置系统参数,系统运行时,“倒计时等信息”能通过数码管或点阵发光管显示,设计时应考虑交通红绿灯控制的易操作性及智能性。以单片机的最小系统为基础设计硬件,用汇编语言、或C语言设计软件。通过本设计可以培养学生分析问题和解决问题的能力,掌握Mcs51单片机的硬件与软件设计方法,从而将学到的理论知识应用于实践中,为将来走向社会奠定良好的基础。 东西(A)、南北(B)两干道交于一个十字路口,各干道有一组红、黄、绿三个指示灯,指挥车辆和行人安全通行。红灯亮禁止通行,绿灯亮允许通行,黄灯亮时车辆及行人小心通过。红灯的设计时间为45秒,绿灯为40秒,黄灯为5秒。 2.2 方案介绍 方案1设计思想: 采用分模块设计的思想,程序设计实现的基本思想是一个计数器,选择一个单片机,其内部为一个计数,是十六进制计数器,模块化后,通过设置或程序清除来实现状 态的转换,由于每一个模块的计数多不是相同,这里的各模块是以预置数和计数器计 数共同来实现的,所以要考虑增加一个置数模块,其主要功能细分为,对不同的状态输 入要产生相应状态的下一个状态的预置数,如图中A道和B道,分别为次干道的置数选 择和主干道的置数选择。 方案2 设计思想: 由两个传感器监视南北方向即A道与东西方向即B道的车辆来往情况,设开关K=1 为有车通过,K=0为没有车通过。则有以下四种情况: Ka=1时:Kb=0,表示A有车B没有车,则仅通行B道:

数字逻辑电路课程设计题目及要求

数字逻辑电路课程设计题目及要求 项目一:高精密数控电源的设计和制作: 要求:电源输出电压为:0—9.9V,步进为0.1V,有两位数码管显示,每位有两个按键能加能减的作用。功率大小不做具体的要求,电路设计不能有专业的A/D芯片。项目二:自行车的里程表设计和制作: 要求:设计一个自行车里程表电路,有两位数码管显示,显示数字的单位为百米,自行车轮胎直径为0.99M。 项目三:自行车的速度测定仪表的设计和制作: 要求:设计一个自行车测速电路,有两位数码管显示,显示数字的单位为M/S,采样时间不能超过5S,自行车轮胎直径为0.99M。 项目四:多功能抢答器的设计和制作: 要求:多功能抢答器为八路的,具有数码和指示灯双重指示,电路的附加的电路一个有计时、报警等电路,所用芯片必须为我们所学的。 项目五:数字频率计的设计和制作: 要求:数字频率计的显示为两位数码管,单位为KHZ,具有溢出报警功能,附带震荡电路,频率可调几K到几百KHZ。 项目六:交通灯的设计和制作: 要求:具有真正模拟十字路口的交通灯的能力,红灯5秒,绿灯4秒,黄灯1秒等的时间也可以自己设定。 项目七:数字电子钟逻辑电路的设计和制作: 要求:数字电子钟逻辑电路要具有电子手表的功能,例如时间的设定,整点报时,可以设定闹钟等功能,只需四位数码。 项目八:定时控制器电路的设计和制作: 要求:定时控制器电路能够定时控制家用电器的开关,例如能按时开启、关断电饭煲煮饭等,电器用灯泡代替,时间可以缩短60倍。 项目九:LED广告牌电路设计和制作: 要求:LED广告牌电路能够模拟市面上的LED广告灯箱,能有四个字显示能力(共青学院),可以的话周围有霓虹灯闪烁。 项目十:易拉罐技术电路的设计与制作: 要求:易拉罐在传送带上过时,记录数值,以20灌为一个单位,满二十灌重新 计数并给出提示音,此过程模拟工厂易拉罐装箱过程. 项目十一:数显风扇调速器电路 要求:具有中低高三档,0为停止,1为低档。2为中档,3为高档。调节开关设 置成触摸,遥控等。可以的话加入温度自动控制电路。 项目十二:出租车计价器电路

交通灯控制电路设计与仿真

交通灯控制电路设计与仿真 一、实验目的 1、了解交通灯的燃灭规律。 2、了解交通灯控制器的工作原理。 3、熟悉 VHDL 语言编程,了解实际设计中的优化方案。 二、实验原理 交通灯的显示有很多方式,如十字路口、丁字路口等,而对于同一个路口又有很多不同的显示要求,比如十字路口,车辆如果只要东西和南北方向通行就很简单,而如果车子可以左右转弯的通行就比较复杂,本实验仅针对最简单的南北和东西直行的情况。要完成本实验,首先必须了解交通路灯的燃灭规律。本实验需要用到实验箱上交通灯模块中的发光二极管,即红、黄、绿各三个。依人们的交通常规,“红灯停,绿灯行,黄灯提醒”。其交通的燃灭规律为:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。 在实验中使用 8 个七段码管中的任意两个数码管显示时间。东西路和南北路的通车时间均设定为 20s。数码管的时间总是显示为 19、18、17……2、1、0、19、18……。在显示时间小于 3 秒的时候,通车方向的黄灯闪烁。 三、实验内容 本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱 的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的 1KHz 时钟,黄灯闪烁时钟要求为 2Hz,七段码管的时间显示为 1Hz脉冲,即每 1s 中递 减一次,在显示时间小于 3 秒的时候,通车方向的黄灯以 2Hz 的频率闪烁。系统 中用 S1 按键进行复位。 实验箱中用到的数字时钟模块、按键开关、数码管与 FPGA 的接口电路,以及 数字时钟源、按键开关、数码管与 FPGA 的管脚连接在以前的实验中都做了详细说 明,这里不在赘述。交通灯模块原理与 LED 灯模块的电路原理一致,当有高电平输 入时 LED 灯就会被点亮,反之不亮。只是 LED 发出的光有颜色之分。其与 FPGA 的 管脚连接如下表 19-1 所示: 四、实验步骤 1、打开 QUARTUSII 软件,新建一个工程。 2、建完工程之后,再新建一个 VHDL File,打开 VHDL 编辑器对话框。

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 一、选择题(每小题2分,共20分) 1.八进制(273)8中,它的第三位数2 的位权为___B___。 A.(128)10B.(64)10C.(256)10 D.(8)10 2. 已知逻辑表达式C B C A AB F+ + =,与它功能相等的函数表达式 _____B____。 A.AB F=B.C AB F+ = C.C A AB F+ =D.C B AB F+ = 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A.原码B.ASCII码C.补码D.BCD码4.对于如图所示波形,其反映的逻辑关系是___B_____。 A.与关系B.异或关系C.同或关系D.无法判断 5.连续异或1985个1的结果是____B_____。 A.0B.1 C.不确定D.逻辑概念错误 6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 A.D C B A F+ + + =B.D C B A F+ + + = C.D C B A F=D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 B A F & ? F B A &

8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为_____D_____。 A. 500KHz B.200KHz C. 100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。 A. 0100100 B.1100011 C. 1011011 D.0011011 二、填空题(每小题2分,共20分) 11.TTL电路的电源是__5__V,高电平1对应的电压范围是__2.4-5____V。 12.N 个输入端的二进制译码器,共有___N2____个输出端。对于每一组输入代码,有____1____个输出端是有效电平。 13.给36个字符编码,至少需要____6______位二进制数。 14.存储12位二进制信息需要___12____个触发器。

简易交通灯控制电路的设计

沈阳航空航天大学 程设计 (说明书) 课程设计任务书 课程名称电子线路课程设计 课程设计题目 _________ 简易交通灯控制电路的设计 ___________ 课程设计的内容及要求: 一、设计说明与技术指标 1东西方向绿灯亮,南北方向红灯亮,时间25s。 2. 东西方向与南北方向黄灯亮,时间5s。 3?南北方向绿灯亮,东西方向红灯亮,时间15s。 4.如果发生紧急事件,可以手动控制四个方向红灯全亮,禁止该道路的车辆通行, 特殊情况过后能恢复正常。 二、设计要求 1. 在选择器件时,应考虑成本。 2. 根据技术指标,通过分析计算确定电路和元器件参数。 3. 画出电路原理图(元器件标准化,电路图规范化)。

三、实验要求 1. 根据技术指标制定实验方案;验证所设计的电路,用multisim软件仿真 2. 进行实验数据处理和分析。 四、推荐参考资料 1.童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006 年 2?阎石,数字电子技术(第五版).[M]北京:高等教育出版社,2005. 3. 陈孝彬,《555集成电路实用电路集》.高等教育出版社2002-8. 4. 王刚《TTL集成电路应用》.机械工业出版社2000-10. 五、按照要求撰写课程设计报告

成绩评定表: 指导教师签字: 年月日

一、 概述 简易交通灯电路是脉冲数字电路的简单应用,设计了交通信号灯不同方向在不同 的时间倒计时内,亮不同的的信号灯的功能,同时应用了七段数码管来显示时间。此 交通灯可以在实现东西、南北方向红黄绿灯的颜色转换,接下来就是按照4个阶段循环 发光,并且如果发生紧急事件看,可以手动控制四个方向红灯全亮,禁止该道路的车 辆通行,特殊情况过后才能恢复正常。这在当今社会交通中具有广泛的应用价值,有 效的减少交通拥堵和交通事故。 本电路主要有四个模块构成:555脉冲发生器、5倍分频器、倒计数器、主控制电 路和手动控制电路。整个电路是有秒脉冲提供脉冲,有计数器进行计数功能向译码显 示电路显示倒计时,而且也控制三种灯泡的发光。 二、 方案论证 2.1设计思路 根据设计要求,十字路口车辆运行情况只有4种可能:1)设开始时东西方向绿灯 通行,南北红灯不通行,持续时间为 25s 。2)25s 后,东西方向绿灯变黄灯,南北方 向红灯保持不变,持续时间为 5s 。3)5s 后,东西方向黄灯变红灯禁止通行,南北方 向红灯变绿灯通行,持续时间为15s 。4)15s 后,东西方向红灯不变禁止通行,南北 方向绿灯变黄灯,5s 后又回到第一种情况,如此循环反复。因此,要求主控制电路也 有4种状态。其控制原理框图如图1所示。 图1简易交通灯电路的原理框图

数字逻辑设计课程设计要求及题目

一、教学目标 (一)课程性质 课程设计。 (二)课程目的 1.进一步掌握数字电路课程所学的理论知识。 2.了解数字电路设计的基本思想和方法,学会科学分析和解决问题。 3.训练学生综合运用学过的数字电路的基本知识,独立设计相对复杂的数字电路的能 力。 4.培养认真严谨的工作作风和实事求是的工作态度。 二、教学内容基本要求及学时分配 (一)课程设计题目 题目见附录,原则上每三人一组,一组一题。 题目共14个,同一班级内不能选同一题目,即各班独立在14个题目中选择。 (二)设计内容 拿到题目后首先进行电路设计。然后在微机上采用Multisim输入设计电路,进行仿真,直至满足设计要求。 (三)设计要求 (1)事先预习,提出大体的设计构思; (2)按题目要求的逻辑功能进行设计,电路各个组成部分须有设计说明; (3)仿真完成后,需把设计的电路备份以备抽查; (四)使用的软件 使用软件为Multisim9。 三、主要教学环节 (一)设计安排 1.第1天讲授设计需要的软件、设计的要求、布置设计题目; 2.第1、2天学生进行设计,由教师验收,然后学生撰写和打印设计报告。 (二)指导与答疑 每天都有教师现场答疑,学生有疑难问题可找教师答疑。学时应充分发挥主观能动性,提高个人的动手能力,不应过分依赖教师。 (三)设计的考评 设计全部完成后,须经教师验收。验收时学生要讲述自己设计电路的原理、仿真情况。 教师根据学生设计全过程的表现和验收情况给出成绩。

四、课程设计报告的内容和要求 (一)课程设计报告的内容 按附录中给出的报告模板进行编写,用A4纸打印,左侧装订。 (二)课程设计报告编写的基本要求 1、按范例的格式书写,所有的内容一律打印; 2、报告内容包括设计过程、设计的电路图,软件仿真的结果及分析等; 3、设计过程中如果需要,列出设计的真值表; 4、整体电路原理图需说明各个部分的功能,仿真波形需说明如何对应设计要求; 5、相关知识可参考《逻辑设计基础》一书。因不同班级中可能有人选择相同题目,所以不允许有完全一样的报告,对于报告完全相同者,记为不及格。

交通信号灯自动控制系统说明书

交通信号灯自动控制系统说明书 课程设计2008-12-28 16:34:46 阅读1674 评论4 字号:大中小订阅 1 概述 1.1 设计目的 (1)掌握CPU与各芯片管脚连接方法,提高接口扩展硬件电路的连接能力; (2)通过对交通灯信号自动系统的模拟控制,进一部提高应用8255A并行接口技术,8253定时功能,8259A中断管理控制器的综合应用能力; (3)掌握基本汇编源程序编制方法,学会综合考虑各种设计方案的对比和论证。 1.2 设计要求 交通信号灯自动控制系统须满足下列要求和功能: (1)首先车行道亮绿灯45s,同时人行道亮红45s; (2)45s后,车行道黄灯闪烁3次,亮、灭各1s,此时人行道仍维持红灯; (3)6s后,转为人行道亮绿灯20s,车行道亮红灯20s; (4)20s后,再转到第(1)步,如此循环往复; (5)当有车闯红灯时,能实现报警信号持续3 s的扩展功能。 1.3 设计方法及步骤 1、设计系统硬件部分 (1)先进行方案论证,确定最终采取硬件定时还是软件定时,是查询方式还是中断方式; (2)在具体甄选设计过程中可能要设计的芯片,分析它们的功能特点,确定它们的工作模式; (3)按照各芯片的使用特点以及本系统的设计要求逐步连接,画出系统硬件连接图。 2、设计系统的软件部分 (1)先进行程序编制方式的方案论证,讨论分析,确定是采用宏程序调用还是子程序调用模式; (2)确定本系统设计可能涉及的源程序各个模块,明确各个模块的各自功能,分清它们相互之间的调用关系; (3)画出各个模块的程序流程图; (4)依据流程图,编制出交通信号灯自动控制系统的完整汇编源程序。 1.4 设计说明 (1)本设计采用共阳极的发光二极管模拟对应的交通信号灯的型式,参见后面“系统硬件部分设计”中“总体设计”这一节; (2)本设计关于有车闯红灯报警的扩展功能,是通过红外线接收装置实现的,具体分析见后面“可编程芯片说明及其地址范围确定”中“8254定时/计数器”这一节; (3)在本设计的最初方案中,本来是有电子眼拍摄闯红灯车牌号的这一很实用、很现实化的扩展功能的,但由于实现这种功能的电路芯片资料难以搜集,芯片电路连接复杂以及芯片工作模式,工作环境,工作特点的难以确定,最终被舍弃,只留下报警功能; (4)本设计在很多方面,比如译码器的选择,定时器选型,程序调用方式等等尽量做到不与本组其他成员雷同,程序编制力求简便清晰,硬件连接图在保证每根具体用到的管脚线都能被表示出来的同时,力求线路连接清晰明确,尽量不使线与线之间过于缠绕。 2 方案论证 2.1 软件定时与硬件定时 本任务要求交通信号灯能实现自行定时、延时、切换等功能,即能实现交通信号灯自动控制。一般计算机控制系统实现定时或延时有两种基本方法:利用软件定时 或使用可编程硬件芯片,即硬件定时。

相关文档
相关文档 最新文档