文档库 最新最全的文档下载
当前位置:文档库 › Debussy设置沿前采值方法cqiu

Debussy设置沿前采值方法cqiu

Debussy设置沿前采值方法cqiu

Debussy设置时钟边沿到来时采样的方法

1.功能

一般Debussy工具对采样的数据显示的值是采样后的数值,而实际的情况是,采样时钟边沿前的数值。

下面介绍如何进行设置

2.选择Tools—>Preferences

3.选择”View Options”->Use The value Before Cursor Time

如何使用debussy与modelsim做co-simulation(soc)(verilog)(vhdl)(debussy)(modelsim)

如何使用Debussy與ModelSim做Co-Simulation (SOC) (Verilog) (VHDL) (Debussy) (ModelSim) Abstract 本文介紹如何使用Debussy與ModelSim做Co-Simulation,並使用Verilog、VHDL以及Verilog搭配VHDL交叉一起simulation。 Introduction 使用環境:Debussy v9 + ModelSim SE 我之前一直使用Debussy + NC-Verilog做simulation,Debussy (Verdi)可以說是HDL的Source Insight,是trace與debug的神兵利器,NC-Verilog也是Verilog simulator中速度最快的,可是最近因工作需要,拿到的一包code卻是用Verilog寫RTL,用VHDL寫testbench,所以必須2種語言一起做simulation,我在NC-Verilog一直無法成功讓兩種語言一起simulation。ModelSim雖然支援Verilog + VHDL co-simulation,但用慣Debussy的我還是無法忘懷其方便的trace code方式,所以若能讓ModelSim也能dump出Debussy所需要的fsdb檔案,這樣就太完美了。 接下來會分4個方式討論 與testbench皆使用Verilog 與testbench皆使用VHDL 使用VHDL,testbench使用Verilog 使用Verilog,testbench使用VHDL 與testbench皆使用Verilog Step 1: 設定ModeSim使用Verilog PLI (因為testbench使用Verilog) 將C:\Novas\Debussy\share\PLI\modelsim_pli\WINNT\複製到C:\\win32\下 修改C:\\,將Veriuser部分修改成如下所示: ; List of dynamically loaded objects for Verilog PLI applications ; Veriuser = ; use by verilog Veriuser = ; use by vhdl ; Veriuser = 复制代码 是個read only檔,要修改前記得修改其屬性才能存檔。

Debussy 仿真快速上手教程

快速上手五部曲: (Debussy v.5.2) 1. Import Files and generate FSDB file 2. Trace between hierarchy browser and source code 3. Trace between hierarchy browser、source code and schematic 4. Trace between hierarchy browser、source code、schematic and waveform 5. nLint (nState本文没介绍,有兴趣的读者,请依文后的连结,自行下载CIC所提 供的NOVAS原厂编写教材参考) 1. Import Files and generate FSDB file 1.1 启动Debussy:% Debussy &(此处的D大小写都可以,但其它指令的大小写 可能就有差别) 开启nTrace window如下,此时工作目录下会新建一个"DebussyLog"目录

1.2 Import Files:File \ Import Design

结果如下图所示:nTrace视窗中,含有三个区域,Hierarchical Brower、Source code window、Message window。 (如果不想看波形,只想查看source code与schematic的关係,您可以直 接跳到step 2.1) 此时DebussyLog目录内会有Debussy.cmd、turbo.log、compiler.log三个档案。Debussy.cmd纪录所有import的档案与之后所有的执行程序。我们可以直接引用这个档案来执行上一次我们做过的一连串程序(refer to 1.5)。 1.3 设定外部模拟器,藉其產生FSDB file: Tools \ Options \ Preferences

十二位著名音乐家的简单生平代表作集锦

1,贝多芬 生平: 路德维希·凡·贝多芬(德语:Ludwig van Beethoven,1770年12月16日-1827年3月26日),古典音乐作曲家、钢琴演奏家。出生在德国波恩,祖籍佛兰德,自幼跟从父亲学习音乐,很早就显露了音乐上的才华,八岁便开始登台演出。12岁出版了钢琴奏鸣曲,1972年经海顿指引去维也纳深造。从1796至1800年,整整4年,贝多芬的耳朵日夜作响,1820年听力开始减弱,中年失聪。他一共创作了9首编号交响曲、35首钢琴奏鸣曲(其中后32首带有编号)、10部小提琴奏鸣曲、16首弦乐四重奏、1部歌剧、2部弥撒等等。这些作品对音乐发展有着深远影响。在东亚,贝多芬被尊称为“乐圣”。 作品:《月光曲》、《第七交响曲》、《骑士芭蕾》《钢琴奏鸣曲》《致爱丽丝》(献给爱丽丝)创作《第七交响曲》、《第八交响曲》《威灵顿的胜利》 2.柴可夫 生平 柴可夫斯基(1840年5月7日—1893年11月6日),俄罗斯伟大的浪漫乐派作曲家,莫斯科音乐学院教授,俄罗斯民族乐派的代表人物。柴可夫斯基出生于沃特金斯克一个贵族家庭,从小在母亲的教导下学习钢琴,后进入圣彼得堡音乐学院,跟随安东·鲁宾斯坦学习音乐创作,成绩优异。毕业后,在尼可莱·鲁宾斯坦的邀请下,担任莫斯科音乐学院教授。他的作品常被世界各国剧院所演奏,在中国一些剧场或公众娱乐场合,用他的乐曲做伴奏编排的现代歌舞,在某些地方也深受大众喜闻乐见,其风格直接和间接地影响了很多后来者。1893年的11月6日逝世。 作品:六部交响曲、四部协奏曲、芭蕾《天鹅湖》《胡桃夹子》《睡美人》 3.德彪西 生平: 阿希尔·克劳德·德彪西(Achille-Claude Debussy,1862年8月22日-1918年3月25日),是十九世纪末、二十世纪初欧洲音乐界颇具影响的作曲家、革新家,同时也是近代“印象主义”音乐的鼻祖,对欧美各国的音乐产生了深远的影响。1873年入巴黎音乐学院,1884年以大合唱《浪子》获“罗马大奖”.他的代表作品有管弦乐《大海》,《牧神午后前奏曲》,钢琴曲《前奏曲》和《练习曲》,而他的创作最高峰则是歌剧《佩利亚斯与梅丽桑德》。第一次世界大战期间,他写过一些对遭受苦难的人民寄予同情的作品,创作风格也有所改变。此时他已患癌症,于1918年3月25日德国进攻巴黎时去世。 4,格里格 爱德华·格里格(1843年6月15日-1907年9月4日),挪威作曲家,出生于卑尔根,祖先是苏格兰人。1907年9月4日卒于同地。6岁随母学钢琴,得到音乐启蒙教育。经著名小提琴家O.布尔推荐,1858~1862年在莱比锡音乐学院学习。毕业后,在卑尔根举行首次作品音乐会。1863~1866年在丹麦首都哥本哈根活动,与挪威民族音乐的倡导者音乐家R.诺

C语言调试常见错误及修改方法(附习题)

1.调试 C 程序时常见的错误类型分析 一般情况下,错误主要分为两大类:一、语法错误。对于这种错误,用编译器很容易解决。所以,改错题的第一步是先编译,解决这类语法错误。下面总结了二级C 语言上机改错题中常见的语法错误: (1) 丢失分号,或分号误写成逗号。 (2) 关键字拼写错误,如本来小写变成大写。 (3) 语句格式错误,例如for 语句中多写或者少写分号。 (4) 表达式声明错误,例如:少了() (5) 函数类型说明错误。与main ()函数中不一致。 (6) 函数形参类型声明错误。例如:少* 等。 (7) 运算符书写错误,例如:/ 写成了。二、逻辑错误,或者叫语义错误,这和实现程序功能紧密相关,一般不能用编译器发现。对于逻辑错误可以按这样的步骤进行查找。 (1) 先读试题,看清题目的功能要求。 (2) 通读程序,看懂程序中算法的实现方法。 (3) 细看程序,发现常见错误点。 2.改错题的改错方式总结,当然这些总结只能对大部分改错行有效 1、若错误行是函数首部,可分为以下几种情况: A、该行最后若有分号则删除,中间若有分号则改成逗号 B、形参类型不一致的问题,特别是指针类型,若后面用到某形参时有指针运算则该形参必为指针类型;若形参是二维数组或指向m 个元素的指针变量,则第二维的长度必须与main 中对应数组的第二维长度相同 C、函数类型不一致的问题,若函数中没有return语句则函数类型为void,若有return语句则函数的类型必须与return 后变量的类型一致。 2、若错误行是if 或while 语句,则首先看有没有用小括号将整个表达式括起,若没有则加上小括号。 3、若错误行中有if、while 、for 则要特别注意条件表达式的错误问题: A、指针变量的应用,若表达式中有指针变量且没有指针运算符,则加上指针运算符 B、若条件表达式中只有一个等于号,则改成两个等于号,若为其它比较运算符则一般是进行逆转或加一个等于号 C、f or 中要用分号分隔表达式,而不是用逗号 4、语法错误 A、语句缺少分号,若错误行中有语句没有用分号结束,则加上分号。 B、大小写不对,若错误行中有大写字母则一般都改成小写字母。 5、指针变量的运用,若错误行中有指针变量,并且该变量名前没有指针运算符则一般都是加上指针运算符 6、若错误行为return 语句,则首先看是否是缺少分号若是则加上分号即可;否则就是return 后的变量或表达式错误(此时可通过看题意,来分析该返回哪一变量或表达式)

modelsim仿真小结

Modelsim仿真小结 Modelsim的基本仿真流程大致分以下几个步骤:建库、编译工程、前后仿真、调试等。Modelsim仿真既可以在modelsim界面操作,也可以用do文件实现,这里结合学习的教程、网上看到的资料,和实际遇到的一些问题,分别做一整理小结。 1.建库 建库包括Altera库和Xilinx库,同时都包括Verilog和VHDL。这里只建了Verilog库,VHDL和Verilog步骤相同。 对于Altera库主要包括lpm元件库、Mega_Function库atera_mf、altera原语库altera_primitive和各器件系列模型库。前三种是调用altera模块的必备库,第四种是进行综合后功能仿真和布线后时序仿真需要的库,和器件系列有关,只选对应系列即可。 Altera库创建和编译步骤如下: a)在Modelsim安装目录下新建文件夹,命名altera_lib,以存放编译后的库文件,可 以在altera_lib下新建Verilog和VHDL两个子文件夹,分别存放Verilog和VHDL库。 b)打开Modelsim,新建Library,file ->new->library .. c)如下图,创建lpm库,路径E:\modeltech_10.1a\altera_lib\Verilog\lpm

d)添加库文件,并编译,compile -> compile …,出现compile source files窗口, library 指定到lpm下,查找范围,选quartus安装目录下… eda\sim_lib目录里的仿真原型文件:220model.v ,点c ompile ,点done。 到此,lpm库建立完毕。 e)同理,建立altera_mf库添加altera_mf.v ,建立primitive库添加altera_primitive.v 建立各系列的模型库,命名可用系列名加_ver“xxx_ver”,也可随意吧,添加各系列的xxx_atoms.v。 这里,也可以把以上库放在一个文件夹,这样做简单,一次就搞定,分开也就是条理清楚,没人去看,所以没必要。 f)修改modelsim.ini文件,为的是让modelsim能自动map到已经编译的这些库上。 先去掉只读属性,在[Library]和[vcom]之间加上:库名=库路径[绝对路径或者相对路径],相对路径是相对于modelsim安装路径,modelsim安装路径用$MODEL_TECH 表示。 这里所有库都放在E:\modeltech_10.1a\altera_lib下,库名是altera_lib,这里只添加一句:altera_lib = E:\modeltech_10.1a\altera_lib g)保存退出,改回modelsim.ini只读属性,防止乱改。至此altera库建立完毕。 Xlinx库创建和编译步骤如下: 相比altera,xilinx建库很方便。xilinx有批处理命令,直接在console命令窗口,输入compxlib命令,调出编译库的窗口,先改掉modelsim安装目录下modelsim.ini只读属性。设置如下图:

错误处理及调试_教学设计.

《PHP程序设计基础教程》 教学设计 课程名称:PHP程序设计基础课程 授课年级:2014年级 授课学期:2015学年第二学期 教师姓名:陆启军

E_USER_NOTICE ] ) 在上述声明中,第一个参数是错误信息内容,第二个参数是错误类别,默认为E_UESR_NOTICE。 需要注意的是,在使用trigger_error()函数触发错误之前,一定要先使用ini_set()函数让错误显示,否则使用trigger_error()函数无法触发错误。 第二课时 (如何处理错误) 如何处理错误 ?显示错误报告 在实际开发过程中,不可避免的会出现各种各样的错误,为了提高开发效率,PHP语言提供了显示错误的机制,该机制可以控制是否显示错误以及显示错误的级别等。在PHP中实现显示错误的机制有如下两种方式:?修改配置文件 通过直接配置php.ini文件来实现显示错误报告,代码如下所示:error_reporting(E_ALL & ~E_NOTICE); display_errors = on; 上述代码中,error_reporting用于设置错误级别,display_errors用于设置是否显示错误报告。 ?error_reporting()和ini_set()函数 通过PHP语言提供的error_reporting()和ini_set()函数实现显示错误报告,代码如下: 上述代码中,ini_set()函数用来设置错误信息是否显示,error_reporting()函数用于设置错误级别。第2行表示显示除E_NOTICE之外的所有级别错误,第3行表示显示错误信息。 ?die()函数 die()函数可以用来定义输出错误信息,常用于业务逻辑的错误显示,代码如下: die()函数与or运算符的配合使用,代码如下所示: ?记录错误日志 网站已经上线或者正在运行,错误显示出来会影响用户体验,这时就需

modelsim和NCverilog的区别

Modelsim和NCverilog的比较 集成电路的发展趋势是,单位面积集成的晶体管的数目越来越多,可靠性越来越越高、稳定性越来越好。现在芯片集成度已经超出可人们的想象,有的芯片内部集成了数百万的晶体管,已经远远超出了人的大脑可以分析的范围。这就对EDA工具提出了更高的要求,不管是设计、仿真、综合软件的发展,都给集成电路工程师带来了巨大的便利。 modelsim是Mentor graphics公司推出的HDL代码仿真工具,也是业界最流行的HDL仿真工具之一。支持图形界面操作和脚本操作,常见的图形界面操作相对直观,但是由于重复性操作几率高、处理效率低、工程的非保存性,对于大规模的代码仿真不推荐使用;脚本操作完全可以克服以上的缺点,把常见的命令,比如库文件和RTL加载、仿真、波形显示等命令编辑成.do脚本文件,只需要让Modelsim运行.do文件即可以完成仿真,智能化程度高。 NCverilog是candence公司推出的Verilog HDL的仿真工具。NC-Verilog是Verilog-XL的升级版, 它采用Native-Compiled技术, 无论仿真速度, 处理庞大设计能力, 编辑能力, 记忆体容量和侦错环境都以倍数升级。C-Verilog是一个编译仿真器,它把Verilog代码编译成Verilog程序的定制仿真器。也就是它把Verilog 代码转换成一个C程序,然后再把该C程序编译成仿真器。因此它启动得稍微慢一些,但这样生成的编译仿真器运行得要比Verilog-XL的解释仿真器快很多。Ncverilog是shell版的,nclaunch是以图形界面为基础的,二者调用相同内核;Ncverilog的执行有三步模式和单步模式,在nclaunch中对应multiple step和single step;Ncverilog的三步模式为:ncvlog(编译) ncelab(建立snapshot文件) ncsim(对snapshot文件进行仿真)基于shell的ncverilog操作(尤其是单步模式)更适合于大批量操作,hncverilog的波形查看配套软件是simvision,其中包含原理图、波形、信号流等查看方式。 Ncverilog命令使用库文件或库目录 ex). ncverilog -f run.f -v lib/lib.v -y lib2 +libext+.v //一般编译文件在run.f 中, 库文件在lib.v中,lib2目录中的.v文件系统自动搜索使用库文件或库目录,只编译需要的模块而不必全部编译。 Verilog Testbench信号记录的系统任务: 1). SHM数据库可以记录在设计仿真过程中信号的变化. 它只在probes有效的时间内记录你set probe on的信号的变化。 ex). $shm_open("waves.shm"); //打开波形数据库 $shm_probe(top, "AS"); // set probe on "top" 第二个参数: A -- signals of the specific scrope

Debussy是一个VerilogVHDL调试工具

介绍 一、Debussy是一个Verilog/VHDL调试工具 Debussy是一套很好的Verilog/VHDL调试工具,可以帮助设计者快速理解复杂的设计,查找和定位设计中存在的问题,提高效率。 二、Debussy包含软件模块 Debussy主要有以下几个模块: 1、nTrace:超文本连接方式的源代码追踪及分析 2、nSchema:原理图显示及分析 3、nWave:波形显示及分析 4、nState:有限状态机的显示及分析 5、nCompare:分析仿真结果,比较其相异处。 Debussy的五个组成部分nTrace, nWave, nSchema, nState, nCompare在FSDB和Knowledge Database (KDB)的支持下高度集成。

三、Debussy功能 Debussy直接编译Verilog/VHDL源代码,并且采用了预综合技术识别电路的单元,可以生成原理图。在导入仿真结果后,可以用Active Annotation在源代码、原理图、状态图上动态显示变量的仿真值。 Debussy的输入为:Verilog/VHDL或混合语言源代码,仿真波形文件,SDF 支持的波形格式:vcd,fsdb,模拟波形文件(PowerMill,TimeMill,Avant! Star-Sim,SmartSpice) 支持的仿真器:VCS,Verilog-XL,NC-verilog,NC-VHDL,Modelsim,Leapfrog,Ploaris。

操作 一、启动 1. 启动 %debussy 启动debussy时,弹出一个nTrace窗口,包含三个子窗口: a、左边是Hierarchical Browser窗口,用来显示设计的层次(design hierachy)。 b、右边是Source Code window,显示源代码。跟踪信号的驱动、负载。 c、底下是Message window(消息窗口),用来报告操作的结果。 2.导入设计 File->Import Design Debussy提供了两种导入设计的方法: A、从设计源文件直接导入 B、从库导入(先将源文件编译成库) Note: 可以用命令的方式直接启动debussy并导入设计文件,即在命令行上列出所有的选项和源文件,或者把这些选项和源文件写到一个文件中,用-f选项指定这个文件。例如: %debussy design.v -v lib.v %debussy -f run.f Note: 启动debussy后,会自动建立一个日志目录DebussyLog,在这个目录下,有一个日志文件:,记录了Debussy的编译信息。 3.查看编译信息: File->View Import Log 二、根据仿真结果进行调试 1. 生成仿真的波形文件 Debussy提供的新的波形文件格式FSDB(Fast Signal DataBase),相比于VCD格式,压缩量大,比vcd文件小5-50倍,加载速度快。 Debussy提供了PLI(for Verilog)和FLI(for VHDL)接口,我们可以在仿真时直接导出FSDB文件。例如,在Verilog的测试模块中加入如下语句:

Ncverilog_的一些经验

Ncverilog 的一些经验 1.Verilog和Ncverilog命令使用库文件或库目录 ex). ncverilog -f run.f -v lib/lib.v -y lib2 +libext+.v //一般编译文件在run.f中, 库文件在lib.v中,lib2目录中的.v文件系统自动搜索使用库文件或库目录,只编译需要的模块而不必全部编译 2.Verilog Testbench信号记录的系统任务: 1). SHM数据库可以记录在设计仿真过程中信号的变化. 它只在probes有效的时间内记录你set probe on的信号的变化. ex). $shm_open("waves.shm"); //打开波形数据库 $shm_probe(top, "AS"); // set probe on "top", 第二个参数: A -- signals of the specific sc rope S -- Ports of the specified s cope and below, excluding library cells C -- Ports of the specified s cope and below, including library cells AS -- Signals of the specifie d scope and below, excluding library cells AC -- Signals of the specifie d scope and below, including library cells 还有一个 M ,表示当前scope的m emories, 可以跟上面的结合使用, "AM" "AMS" "AMC" 什么都不加表示当前scope的por ts; $shm_close //关闭数据库 2). VCD数据库也可以记录在设计仿真过程中信号的变化. 它只记录你选择的信号的变化. ex). $dumpfile("filename"); //打开数据库 $dumpvars(1, top.u1); //scope = top.u1, depth = 1 第一个参数表示深度, 为0时记录所有深度; 第二个参数表示scope,省略时表当前的scope. $dumpvars; //depth = all scope = all $dumpvars(0); //depth = all scope = current $dumpvars(1, top.u1); //depth = 1 scope = top. u1 $dumpoff //暂停记录数据改变,信号变化不写入库文件中 $dumpon //重新恢复记录 3). Debussy fsdb数据库也可以记录信号的变化,它的优势是可以跟debus sy结合,方便调试.

第6章 Delphi程序异常处理与调试技术

第六章程序异常处理与调试技术 在Delphi中有两种程序错误,一种是编译错误,在程序编辑阶段就可以由编译器发现并给出提示。另外一种是运行错误,这类错误不能在编译阶段查出,只能在程序执行时发现,称为运行错误。 Delphi提供了一种机制来处理运行错误,保护程序的正常执行,这种机制就是异常处理。异常处理的方法是把正常的执行程序同错误的处理程序分离开来,这样可以保证在没有错误时,程序正常执行,当发生错误时,执行错误处理部分的程序,然后程序跳出保护模块,继续执行后续的程序。 6.1 Object Pascal异常的种类 异常的种类:Delphi内建的异常类,程序员自定义的异常类。 异常基类及其属性和主要方法:在Delphi中,所有异常的基类是Exception 类。所有其他异常类都是由该类派生而来。 1. exception属性 该类有两个基本属性:HelpContext和Message。 (1)Exception.HelpContext属性 该属性的定义如下: ?Type ThelpContext= -MaxLongint..MaxLongint; ?Property HelpContext:ThelpContext; HelpContext是ThelpContext类的一个实例,它提供了与异常对象联系在一起的上下文相关帮助信息的序列号。该序列号决定当发生异常时用户按F1键显

示的一个异常错误的帮助信息。 (2)Exception.Message属性 该属性的定义如下: property Message: string 该属性存储异常发生时的错误信息。可以通过该属性在提示错误对话框中显示错误信息字符串。 2.exception方法 (1)Exception.Create方法 该方法的定义形式为: Constructor Create(Const Msg: String); 该方法用来产生一个带有一条简单提示信息的对话框,对话框中的提示内容由Msg提供 (2)Exception.CreateFmt方法 该方法的定义格式如下: Constructor CreateFmt(Const Msg:String;Const Args:Array of Const) ; 该方法用来产生一个带有格式化字符串提示信息的对话框,格式化的字符串由Msg和Args数组共同提供,其中数组Args负责提供用于格式化的数值。 (3)Exception.CreatHelp方法 该方法的定义格式如下: Constructor CreateHelp(Const Msg:String; AhelpContsxt:Integer) ; 该方法产生一个带有一条简单提示信息和上下文帮助序列号的提示对话框。其中Msg参数包含了显示在异常对话框中的运行错误信息。AhelpContext参数包

Debussy使用指南(上)2006.03.02

Debussy 入门指南(上) ahan/阿憨 ahan.mail@https://www.wendangku.net/doc/8e2007091.html, Debussy 简介 Debussy 智能调试系统改善了不同层次,门级、RTL和行为级复杂AISC和IC的调试过程。它提供了一个集成Verilog和HDL分析和调试的工具来减少整个芯片设计的时间,提高工程师的工作效率。主要部件有: nTrace – 一个独立的层次行的源代码分析和浏览工具。 nSchema – 一个面向调试的层次性的原理图生成器。 nWave-一个无比全面的波形分析工具。 nState—一个功能强大的快速验证有限状态机功能的调试工具。 所有Debussy模块全部通过一个智能的数据库和FSDB。信号的数值状态自动链接到所有的窗口。操作直观方便,有上下文和超级链接。例如,紧密的集成环境可以让你找到一个信号的源代码或者原理图和波形图,通过把这个信号拉入源代码窗口中。 Debussy 有两种工作模式:交互模式和后处理模式。使用你设计的HDL 源代码,Debussy 可以生成FSDB(Fast Signal DataBase)。你也可以通过Debussy把VCD或者TimeMill、PowerMill 仿真结果转为FSDB。Debussy支持在读入VCD文件的时候直接转化为FSDB格式。交互模式可以让你实时的控制你的仿真并用图形调试仿真结果。后处理模式可以让你输出仿真的结果通过PLI输出到FSDB文件中。在仿真结束后再到debussy中做交互式调试。

Debussy窗口界面 Debussy是一个多窗口,用户界面简便的应用软件。它可以在Linux,Motif,OpenWindows和其他X-window的系统上运行。下面我们逐一介绍: nTrace – 一个独立的层次形的源代码分析和浏览工具。 当你启动Debussy,nTrace会显示在中间的窗口中,作为其他窗口创建的主界面。当你在nTrace中打开一个新的设计,Debussy就会关闭存在的nWave和nSchema窗口。 Source Debug Mode(源代码调试模式) 分三个部分,层次浏览窗口,信息浏览窗口和超文本的代码窗口。超文本源代码窗口可以显示设计中的源代码和对于的原理图,这取决于观看的模式的选择。从工具栏中View->Source Code View or Schematic View下拉菜单可以切换模式。 Interactive Mode(交互模式) 通过Tool->Interactive Mode 可以进入交互模式。交互模式是从Debussy界面调用仿真器做调试,个人建议先dump 波形再到debussy做调试。 nWave-一个无比全面的波形分析工具。 窗口又分三个主要界面,信号界面,数值界面和波形界面,基本结构和 nWave Modelsim的Waveform没有太大区别。

有关modelsim的问题汇总

问题一:编译xilinx仿真库 在网上搜了无数帖子,结合自己数小时的实践,终于搞定了Xilinx仿真库。 测试环境:Windows XP3,Xilinx ISE Suite 10.1,ModelSim SE 6.5c 使用ISE自带的库生成工具是最方便的方法。 首先打开ISE,在Edit->Preferences...->ISE General 的Intergrated Tools 的Model Tech Simulator中指定Modelsim.exe的实际安装路径。(在这里我的路径是D:\Work\modeltech_6.5c\win32\modelsim.exe,请根据自己的实际情况更改)。OK以后退出ISE。 然后到ModelSim安装目录下找到ModelSim.ini文件,右键将其只读属性去掉,确定。 按下WIN+R,输入compxlibgui后回车,这其实就是自带的工具Simulation Library Compilation Wizard。点击Select simulator,选中ModelSim。检查路径正确了以后选Next。再Next,建议再Next,或者根据自己的实际需要选择FPGA/CPLD的具体型号。继续Next,在Map only to existing pre-compiled libraries前打上勾,在Output Directory for modelsim.ini file using mapped libraries中选择modelsim安装根目录modelsim.ini所在的路径,这一步很重要。再点击Next就开始编译了。 最后把ModelSim.ini文件的只读属性改回去,万事OK…… 问题二: Hello all, Whenever I need to look at a filter output (or any DSP core), I used to define a real signal and assign it to what I want to examine, roughly something like this: dac_real_out <= hex2real(dac_holder, 12.0); where dac_holder is a std_logic_vector. It is much easier to look at an analogue signal waveform instead of tracing hex values word by word. This used to work quite alright using ModelSim XE edition. Now for some reason, it seems I can't get it to compile my design---I get the following error: # ** Error: (vcom-42) Unsupported ModelSim library format for "work". (Format: 3) My first question is: can I go around it as I've never encountered this before.

易语言程序设计 第八章 程序调试及错误处理 免费

<易语言程序设计> 作者:蔷薇的羽翼 第八章程序调试及错误处理 程序在编写完成后可能会存在这样那样的问题,或测试后发现了错误,这些都与要开发人员去寻找错误发生的地方并改正,即调试程序,在易语言的开发环境中提供了诸如单步跟踪,设置执行断点,监视变量值等调试手段,能够帮助开发人员快速定位错误,掌握这些调试手段是每个开发人员的基本要求。 8.1程序有哪些错误 古语云:人非圣贤孰能无过。编写程序中总是或多或少的错误发生。这些错误根据产生的原因可以分为三种:语法错误逻辑错误和异常错误。 针对不同的错误,易语言提供不同的解决方案,但首先要区分三种错误,我们以走路为例说明,一个人要到某地,,路线的选择和进行过程好比是我们设计好的程序,如果路线正确,天气理想,个人在努力就一定会到到目的地,这就说程序运行正常,但可能会出现这样的情况,比如第一,汽车没有油了。。这种不符合规则的做法在程序的一开始我们就已经知道错误了,就好比编译错误,第二,这人的路线错了,好比在岔道口走错了方向,结果走到了其他的地发,这好比逻辑错误,第三,遵守了规则,路线也正确,但是没想到某个路被洪水冲垮了,这就是运行错误。 1.语法编译错误 不正确的书写格式会造成编译错误,比如漏掉了某些标点符号,调用函数没有提供必要的参数,数据类型不匹配,这些错误大多都会在编译调试时候自动检测出来并显示错误信息。 2.逻辑错误 当应用程序为按照预期的方式执行时就会产生逻辑错误,从语法的角度来看,代码是正确有效地,运行过程也是顺利的,但是产生了不正确的结果,其原因就是应用程序逻辑出现了错误。 检测程序是否含有逻辑错误可以采用人工检查代码测试程序的方法,测试就是运行应用程序,将结果和预期的目标进行比较的一种动态检查手段,对含有逻辑错误的程序需要进行调试,易语言提供了强大使用方便的调试手段,能帮助我们了解程序运行的整个过程和每个时刻的状态,从而迅速定位错误 3.运行异常错误 应用程序运行期间,当一个语句执行操作时发生错误的事件,就会产生运行异常错误,比如用户意料之外的数组下标越界等,这些错误如果没有被代码捕获,会是程序突然异常终止而无法继续运行。 8.2如何调试程序 1.常用的调试手段

第9章三菱PLC的编程软件及调试.pdf

第9章 三菱PLC的编程软件及调试 9.1GX Developer的功能特点及基本操作 1.功能特点 编程软件是指在个人计算机上运行的、由PLC厂家提供的、用于PLC编程的工具软件。早期运行在Dos环境下,而今都运行在Windows系统下。而且,所有PLC厂商在提供PLC硬件的同时,也都提供编程软件。 GX Developer是三菱公司开发的用于三菱A系列、QnA系列、Q系列、FX系列可编程控制器的编程软件,目前版本已升级到8.X,是一个功能强大的通用性编程软件。 三菱编程软件GX Developer主要有以下功能: (1)程序的创建。 (2)对可编程控制器CPU进行写入、读出。 (3)监视:包括梯形图监视、软元件批量监视、软元件登录监视功能等。 (4)调试:将所创建的顺控程序写入到可编程控制器CPU中,对顺控程序能否正常动作进行测试。此外,通过使用新开发的GX Simulator,可以在单台个人计算机上进行仿真调试。 (5)PLC诊断 用于显示当前的出错状态以及故障记录以及故障处理情况等。此外,通过系统监视(仅为QCPU (Q模式))可以获取关于特殊功能的详细信息,以便在出错时可以在更短的时间内完成除错。 GX Developer具有以下特点: (1)软件通用 GX Developer能够用于三菱Q系列、QnA系列、A系列、FX系列PLC的编程。 (2)操作性强 利用Windows的优越性,使操作性大大增强,能够将Excel、Word等做成的说明数据进行复制、粘贴,并有效利用。 (3)程序的标准化 标签编程:标签编程制作通用的程序可以根据机器的构成,方便地改变其软元件的配置,从而能够简单地被其他程序利用。 功能块:功能块是以提高顺序程序的开发效率为目的而开发的一种功能。把开发顺序程序时反复使用的顺序程序回路块零件化,使得顺序程序的开发变得容易。此外,零件化后,能够防止将其运用到别的顺序程序时的顺序输入错误。 宏:可以事先对任意梯形图组进行命名(宏名称)并登记为文件,然后可以轻松地反复调用该梯形图组(宏调用)。 (4)丰富的编程语言 可以通过继电器符号语言、逻辑符号语言、顺序功能图创建功能块,此外,还新增了结构化文本(ST语言)。 (5)访问其它站时的设置简单 当本站点与其它图形化的连接对象站点要构成复杂系统的时候,GX Developer的设置简单。 (6)能够用各种方法和可编程控制器CPU连接 可经由串行通信口、USB、MELSECNET/10(H)计算机插板、MELSECNET (Ⅱ)计算机插板、CC-link 计算机插板、Ethernet计算机插板、CPU计算机插板和AF计算机插板与可编程控制器CPU连接。 (7) 丰富的调试功能 运用梯形图逻辑测试功能,能够更加简单地进行各种离线调试。此外,通过该软件还可进行模拟在线调试。 在帮助中提供了CPU错误代码和特殊继电器/特殊寄存器的功能说明,所以,对在线调试过程中发生的错误,可以通过该帮助菜单非常方便地进行查询,从而缩短控制软件的编程调试时间。

debussy和modelsim协同仿真(VHDL)

1、编辑modelsim根目录下的modelsim.ini文件,将; Veriuser = veriuser.sl 更换为Veriuser = novas_fli.dll。 2、将C:\Novas\Debussy\share\PLI\modelsim_fli54\WINNT下的novas_fli.dll 拷贝至 C:\Modeltech_6.1d\win32中 3、将C:\Novas\Debussy\share\PLI\modelsim_fli54\WINNT下的novas.vhd拷贝至工程所在目录 4、打开modelsim,在工程所在目录新建一个novas的LIB,然后编译novas.vhd文件。 5、在testbentch中增加library novas;use novas.pkg.all; 6、在testbentch中添加 debussy_debug:process begin fsdbDumpfile("**.fsdb"); fsdbDumpvars(0,"顶层文件名(有testbench的话即为testbench名)"); wait; end process debussy_debug; 7、编译整个工程,然后仿真 8、打开debussy, import design加载文件,设置好顶层文件,打开nWARE,加入信号即可为了更加方便的使用DEBUSSY,我们可以编写DO文件来达到这一目的: 1、先新建dc16_tca目录。比如在E:/WORK/TEMP里新建该目录,把源程序都放在 dc16_tca/soure里头。 2、编写tca.do文件: 下面是以TCA为例说明一下这个步骤: tca.do: #start #建立并编译novas库 vlib novas vmap novas novas vcom -work novas D:/WORK/temp/dc16_TCA/source/novas.vhd #建立并编译work库

德彪西 DEBUSSY -《室内乐作品》

专辑英文名: Chamber Music 专辑中文名: 室内乐作品 艺术家: 德彪西DEBUSSY 古典类型: 室内乐 资源格式: APE 版本: EMI 发行时间: 1992年 地区: 法国 简介: 唱片出品:EMI MUSIC FRANCE 唱片公司 条形码:7243 5 6965 2 1 专辑介绍: 克罗德·德彪西(Claude Debussy,1862-1918),杰出的法国作曲家。他于1873年入巴黎音乐院,在十余年的学习中一直是才华出众的学生,并以大合唱《浪子》获罗马奖。后与以马拉美为首的诗人与画家的小团体很接近,以他们的诗歌为歌词写作了不少声乐曲。并根据马拉美的同名诗歌创作了管弦乐序曲《牧神午后》,还根据比利时诗人梅特林克的同名戏剧创作了歌剧《佩利亚斯与梅丽桑德》。 德彪西在法国音乐史上占有极重要的地位。他被认为是自拉缪死后200年法国音乐的顶峰。如果说贝多芬代表了18世纪的音乐,那么德彪西就是19世纪音乐界的太阳。

德彪西的个性相当复杂。他那颗焦灼的心时常有一种莫名的负罪感。他惯于独处和沉默。然而德彪西在冷漠的外表下蕴藏着激情和狂野。这些都使他的音乐丰富而感性。 https://www.wendangku.net/doc/8e2007091.html,/view/897433.htm https://www.wendangku.net/doc/8e2007091.html,/kgsm/yxcd/2006/04/09/0361899.shtml https://www.wendangku.net/doc/8e2007091.html,/new/TechForum/Content...e=522053 德彪西-室内音乐作品(背) 专辑曲目: CD 1 01.-03. Sonate pour violon & piano (Ferras, Barbizet) 04.-06. Sonate pour violoncelle & piano (Gendron, Fevrier) 07.-09. Sonate pour flute, alto & harpe (Debost, Menuhin, Laskine) 10. Syrinx, pour flute seule (Debost) 11.-14. Quatuor a cordes (Quatuor Parrenin)

相关文档
相关文档 最新文档