文档库 最新最全的文档下载
当前位置:文档库 › 实验七 基于通信原理的模拟锁相环与载波同步

实验七 基于通信原理的模拟锁相环与载波同步

实验七  基于通信原理的模拟锁相环与载波同步
实验七  基于通信原理的模拟锁相环与载波同步

实验七模拟锁相环与载波同步

一、实验目的

掌握模拟锁相环的工作原理,以及环路的锁定状态、失锁状态、同步带、捕捉带等基本概念。掌握用平方环法从2DPSK信号中提取相干载波的原理及模拟锁相环的设计方法。了解相干载波相位模糊现象产生的原因。

二、实验原理

通信系统中常用平方环或同相正交环(科斯塔斯环)从2DPSK信号中提取相干载波。本实验系统的载波同步提取模块用平方环,原理方框图如图7-1所示。模块内部使用+5V、+12V、-12V电压,所需的2DPSK输入信号已在实验电路板上与数字调制单元2DPSK输出信号连在一起。

图7-1 载波同步方框图

本模块上有以下测试点及输入输出点:

? MU 平方器输出测试点,V

>1V

P-P

>0.2V

? VCO VCO输出信号测试点,V

P-P

鉴相器输出信号测试点

? U

d

? CAR-OUT 相干载波信号输出点/测试点

图7-1中各单元与电路板上主要元器件的对应关系如下:

?平方器U25:模拟乘法器MC1496

?鉴相器U23:模拟乘法器MC1496;U24:运放UA741

?环路滤波器电阻R25、R68;电容C11

?压控振荡器CRY2:晶体;N3、N4:三极管3DG6

?放大整形N5、N6:3DG6;U26:A:74HC04

?÷2 U27:D触发器7474

?移相器U28:单稳态触发器7474

?滤波器电感L2;电容C30

下面介绍模拟锁相环原理及平方环载波同步原理。

锁相环由鉴相器(PD)、环路滤波器(LF)及压控振荡器(VCO)组成,如图

7-2所示。

u o (t)

图7-2 锁相环方框图

模拟锁相环中,PD 是一个模拟乘法器,LF 是一个有源或无源低通滤波器。锁相环路是一个相位负反馈系统,PD 检测u i (t)与u o (t)之间的相位误差并进行运算形成误差电压u d (t),LF 用来滤除乘法器输出的高频分量(包括和频及其他的高频噪声)形成控制电压u c (t),在u c (t)的作用下、u o (t)的相位向u i (t)的相位靠近。设u i (t)=U i sin[ωi t+θi (t)],u o (t)=U o cos[ωi t+θo (t)],则u d (t)=U d sin θe (t),θe (t)=θi (t)-θo (t),故模拟锁相环的PD 是一个正弦PD 。设u c (t)=u d (t)F(P),F(P)为LF 的传输算子,VCO 的压控灵敏度为K o ,则环路的数学模型如图7-3所示。

θi (t)

o (t)

图7-3 模拟环数学模型

当6

)(π

θ≤

t e 时,e d e d U t U θθ=)(sin ,令K d =U d 为PD 的线性化鉴相灵敏度、单位为V/rad ,则环路线性化数学模型如图7-4所示。

θi (t)

θo (t)

图7-4 环路线性化数学模型

由上述数学模型进行数学分析,可得到以下重要结论:

? 当u i (t)是固定频率正弦信号(θi (t)为常数)时,在环路的作用下,VCO 输出信号频率可以由固有振荡频率ωo (即环路无输入信号、环路对VCO 无控制作用时VCO 的振荡频率),变化到输入信号频率ωi ,此时θo (t)也是一个常数,u d (t)、u c (t)都为直流。我们称此为环路的锁定状态。定义Δωo =ωi -ωo 为环路固有频差,Δωp 表示环路的捕捉带,ΔωH 表示环路的同步带,模拟锁相环中Δωp <ΔωH 。当|Δωo |<ΔωP 时,环路可以进入锁定状态。当|Δωo |<ΔωH 时环路可以

保持锁定状态。当|Δωo |>ΔωP 时,环路不能进入锁定状态,环路锁定后若Δωo 发生变化使|Δωo |>ΔωH ,环路不能保持锁定状态。这两种情况下,环路都将处于失锁状态。失锁状态下u d (t)是一个上下不对称的差拍电压,当ωi >ωo ,u d (t)是上宽下窄的差拍电压;反之u d (t)是一个下宽上窄的差拍电压。

? 环路对θi (t)呈低通特性,即环路可以将θi (t)中的低频成分传递到输出端,θi (t)中的高频成分被环路滤除。或者说,θo (t)中只含有θi (t)的低频成分,θi (t)中的高频成分变成了相位误差θe (t)。所以当u i (t)是调角信号时,环路对u i (t)等效为一个带通滤波器,离ωi 较远的频率成分将被环路滤掉。

? 环路自然谐振频率ωn 及阻尼系数ζ(具体公式在下文中给出)是两个重要参数。ωn 越小,环路的低通特性截止频率越小、等效带通滤波器的带宽越窄;ζ越大,环路稳定性越好。

? 当环路输入端有噪声时,θi (t)将发生抖动,ωn 越小,环路滤除噪声的能力越强。实验一中的电荷泵锁相环4046的性能与模拟环相似,所以它可以将一个周期不恒定的信号变为一个等周期信号。

对2DPSK 信号进行平方处理后得

2/)2cos 1(cos )()(222t t t m t S c c ωω+==,

此信号中只含有直流和2ωc 频率成分,理论上对此信号再进行隔直流和二分频处理就可得到相干载波。锁相环似乎是多余的,当然并非如此。实际工程中考虑到下述问题必须用锁相环:

? 平方电路不理想,其输出信号幅度随数字基带信号变化,不是一个标准的二倍频正弦信号。即平方电路输出信号频谱中还有其它频率成分,必须滤除。 ? 接收机收到的2DPSK 信号中含有噪声(本实验系统为理想信道,无噪声),因而平方电路输出信号中也含有噪声,必须用一个窄带滤波器滤除噪声。 ? 锁相环对输入电压信号和噪声相当于一个带通滤波器,我们可以选择适当的环路参数使带通滤波器带宽足够小。

对于本模拟环,ωn 、ζ、环路等效噪声带宽B L 及等效带通滤波器的品质因数Q 的计算公式如下:

L n L n d n B f Q B C R C R R K K o

211

6811

6825o

,)41(8,2

,)(=+=

=

+=

ζζ

ωωζω 式中f o =4.433×106(HZ ),等于载频的两倍。

设计环路时通过测量得到K d 、K o ,一般选ζ值为0.5~1,根据任务要求选定

ωn 后即可求得环路滤波器的元件值。

当固有频差为0时,模拟环输出信号的相位超前输入相位90?,必须对除2电路输出信号进行移相才能得到相干载波。移相电路由两个单稳态触发器U28:A 和U28:B 构成。U28:A 被设置为上升沿触发,U28:B 为下降沿触发,故改变U28:A

输出信号的宽度即可改变U28:B输出信号的相位,从而改变相干载波的相位。此移相电路的移相范围小于90 。在锁定状态下微调C

34

也会改变输出信号与输入信号的相位关系(为什么,请思考)。

可对相干载波的相位模糊作如下解释。在数学上对cos2ω

c

t进行除2运算的

结果是cosω

c t或-cosω

c

t。实际电路也决定了相干载波可能有两个相反的相位,

因二分频器的初始状态可以为“0”也可以是“1”。

三、实验所需部件

数字信源单元、数字调制单元和载波同步单元。

四、实验步骤

环路锁定时u

d

为直流、环路输入信号频率等于反馈信号频率(此锁相环中

即等于VCO信号频率)。环路失锁时u

d

为差拍电压,环路输入信号频率与反馈信号频率不相等。本环路输入信号频率等于2DPSK载频的两倍,即等于调制单元CAR信号频率的两倍。环路锁定时VCO信号频率等于CAR-OUT信号频率的两倍。所以环路锁定时调制单元的CAR和载波同步单元的CAR-OUT频率完全相等。

根据上述特点可判断环路的工作状态,具体实验步骤如下:

(1)观察锁定状态与失锁状态

打开电源后用示波器观察u

d ,若u

d

为直流,则调节载波同步模块上的可变电

容C

34,u

d

随C

34

减小而减小,随C

34

增大而增大(为什么?请思考),这说明环路

处于锁定状态。用示波器同时观察调制单元的CAR和载波同步单元的CAR-OUT,可以看到两个信号频率相等。若有频率计则可分别测量CAR和CAR-OUT频率。在

锁定状态下,向某一方向变化C

34,可使u

d

由直流变为交流,CAR和CAR-OUT频

率不再相等,环路由锁定状态变为失锁。

接通电源后u

d 也可能是差拍信号,表示环路已处于失锁状态。失锁时u

d

的最

大值和最小值就是锁定状态下u

d

的变化范围(对应于环路的同步范围)。环路处

于失锁状态时,CAR和CAR-OUT频率不相等。调节C

34使u

d

的差拍频率降低,当

频率降低到某一程度时u

d

会突然变成直流,环路由失锁状态变为锁定状态。

(2)测量同步带与捕捉带

环路处于锁定状态后,慢慢增大C

34,使u

d

增大到锁定状态下的最大值u

d1

(此

值不大于+12V);继续增大C

34,u

d

变为交流(上宽下窄的周期信号),环路失锁。

再反向调节减小C

34,u

d

的频率逐渐变低,不对称程度越来越大,直至变为直流。

记环路刚刚由失锁状态进入锁定状态时鉴相器输出电压为u

d2;继续减小C

34

,使

u d 减小到锁定状态下的最小值u

d3

;再继续减小C

34

,u

d

变为交流(下宽上窄的周

期信号),环路再次失锁。然后反向增大C

34

,记环路刚刚由失锁状态进入锁定状

态时鉴相器输出电压为u

d4

令ΔV

1=u

d1

- u

d3

,ΔV

2

=u

d2

- u

d4

,它们分别为同步范围内及捕捉范围内环路控

制电压的变化范围,可以发现ΔV 1>ΔV 2。设VCO 的灵敏度为K 0(HZ/V),则环路同步带Δf H 及捕捉带Δf P 分别为:Δf H =K 0ΔV 1/2 ,Δf P =K 0ΔV 2/2 。

应说明的是,由于VCO 是晶体压控振荡器,它的频率变化范围比较小,调节C 34时环路可能只能从一个方向由锁定状态变化到失锁状态,此时可用Δf H =K 0(u d1-6)或Δf H =K 0(6-u d3)、Δf P =K 0(u d2-6)或Δf P =K 0(6-u d4)来计算同步带和捕捉带,式中6为u d 变化范围的中值(单位:V )。

作上述观察时应注意:

? u d 差拍频率低但幅度大,而CAR 和CAR-OUT 的频率高但幅度很小,用示波器观察这些信号时应注意幅度旋钮和频率旋钮的调整。

? 失锁时,CAR 和CAR-OUT 频率不相等,但当频差较大时,在鉴相器输出端电容的作用下,u d 幅度较小。此时向某一方向改变C 34,可使u d 幅度逐步变大、频率逐步减小、最后变为直流,环路进入锁定状态。

? 环路锁定时,u d 不是一个纯净的直流信号,在直流电平上叠加有一个很小的交流信号。这种现象是由于环路输入信号不是一个纯净的正弦信号所造成的。 4. 观察环路的捕捉过程

先使环路处于失锁定状态,慢慢调节C 34,使环路刚刚进入锁定状态后,关闭电源开关,然后再打开电源,用示波器观察u d ,可以发现u d 由差拍信号变为直流的变化瞬态过程。u d 的这种变化表示了环路的捕捉过程。 5. 观察相干载波相位模糊现象

使环路锁定,用示波器同时观察调制单元的CAR 和载波同步单元的CAR-OUT 信号,调节电位器P 1或微调电容C 34使两者成为反相或同相。反复断开、接通电源可以发现这两个信号有时同相、有时反相。

五、实验报告要求

1. 总结锁相环锁定状态及失锁状态的特点。

2. 设K 0=18 HZ/V ,根据实验结果计算环路同步带Δf H 及捕捉带Δf P 。

3. 由公式116825o )(C R R K K d n +=

ω及n C

R ωζ2

1168=计算环路参数ωn 和ζ,式中

K d =6 V/rad ,K o =2π×18 rad/s.v ,R 25=2×104 Ω,R 68=5×103 Ω,C 11=2.2×10-6 F 。(f n =ωn /2π应远小于码速率,ζ应大于0.5)。

4. 总结用平方环提取相干载波的原理及相位模糊现象产生的原因。

5. 设VCO 固有振荡频率f 0 不变,环路输入信号频率可以改变,试拟订测量环路同步带及捕捉带的步骤。

通信原理实验报告模拟调制

通信原理实验报告 HUNAN UNIVERSITY 实验报告 题目第五章数字基带传输 学生姓名谢琰 学生学号20110808223 指导老师肖玲

1.实验目的 通过使用MATLAB软件模拟模拟调制的过程使我们加深对几种模拟调制机制的原理和过程过程的理解。 在数字通信系统中,需要将输入的数字序列映射为信号波形在信道中传输,此时信源输出数字序列,经过信号映射后成为适于信道传输的数字调制信号。数字序列中每个数字产生的时间间隔称为码元间隔,单位时间内产生的符号数称为符号速率,它反映了数字符号产生的快慢速度。由于数字符号是按码元间隔不断产生的,经过数字符号--映射为向银行的信号波形后,就形成了数字调制信号。根据映射后信号的频谱特性,可以分成基带信号和频带信号。 通常基带信号指信号的频谱为低通型,而频带信号为带通型。 2实验内容 脚本文件T2F.m定义了函数T2F.m,计算信号的傅里叶变换。 %T2F function [f,sf]=T2F(t,st) %This is a function using the FFT function to calculate a signal's Fourier %Translation %Input is th etime and the signal vectors,the length of time must greater %than 2 %Output is the frequence and the sihnal spectrum dt=t(2)-t(1); T=t(end);

df=1/T; N=length(st); f=-N/2*df:df:N/2*df-df; sf=fft(st); sf=T/N*fftshift(sf); 脚本文件F2T.m定义了函数F2T.m,计算信号的反傅里叶变换。 %F2T function [t,st]=F2T(f,sf) %Ths is function calculate the time signal using lfft function for the input %signal's spectrum df=f(2)-f(1); Fmx=(f(end)-f(1)+df); dt=1/Fmx; N=length(sf); T=dt*N; %-T/2:dt:T/2-dt; t=0:dt:T-dt; sff=ifftshift(sf); st=Fmx*ifft(sff); %st=real(st);

锁相环的基本原理和模型

1.锁相环的基本原理和模型 在并网逆变器系统中,控制器的信号需要与电网电压的信号同步,锁相环通过检测电网电压相位与输出信号相位之差,并形成反馈控制系统来消除误差,达到跟踪电网电压相位和频率的目的。一个基本的锁相环结构如图1-1所示,主要包括鉴相器,环路滤波器,压控振荡器三个部分。 图1-1 基本锁相环结构 鉴相器的主要功能是实现锁相环输出与输入的相位差检测;环路滤波器的主要作用应该是建立输入与输出的动态响应特性,滤波作用是其次;压控振荡器所产生的所需要频率和相位信息。 PLL 的每个部分都是非线性的,但是这样不便于分析设计。因此可以用近似的线性特性来表示PLL 的控制模型。 鉴相器传递函数为:)(Xo Xi Kd Vd -= 压控振荡器可以等效为一个积分环节,因此其传递函数为:S Ko 由于可以采用各种类型不同的滤波器(下文将会讲述),这里仅用)(s F 来表示滤波器的传递函数。 综合以上各个传递函数,我们可以得到,PLL 的开环传递函数,闭环传递函数和误差传递函数分别如下: S s F K K s G d o op )()(=,)()()(s F K K S s F K K s G d o d o cl +=,) ()(s F K K S S s H d o += 上述基本的传递函数就是PLL 设计和分析的基础。 2.鉴相器的实现方法 鉴相器的目的是要尽可能的得到准确的相位误差信息。可以使用线电压的过零检测实现,但是由于在电压畸变的情况下,相位信息可能受到严重影响,因此需要进行额外的信号处理,同时要检测出相位信息,至少需要一个周波的时间,动态响应性能可能受到影响。 一般也可以使用乘法鉴相器。通过将压控振荡器的输出与输入相乘,并经过一定的处理得到相位误差信息。 在实际的并网逆变器应用中还可以在在同步旋转坐标系下进行设计,其基本的目的也是要得的相差的数值。同步旋转坐标系下的控制框图和上图类似,在实际使用中,由于pq 理论在电网电压不平衡或者发生畸变使得性能较差,因而较多的使用dq 变换,将采样得到的三相交流电压信号进行变化后与给定的直流参考电压进行比较。上述两种方法都使用了近似,利用在小角度时正弦函数值约等于其角度,因而会带来误差,这个误差是人为近似导致的误差,与我们要得到的相位误差不是一个概念,最终的我们得到相位误差是要形成压控振荡器的输入信号,在次激励下获得我们所需要的频率和相位信息。 2.1乘法鉴相器

通信原理实验模拟调制系统(AM,FM)实现方法

实验一模拟调制系统(AM,FM)实现方法一、实验目的 实现各种调制与解调方式的有关运算 二、实验内容 对DSB,抑制载波的双边带、SSB,FM等调制方式下调制前后的信号波形及频谱进行观察。要求用system view 或Matlab中的基本工具组建各种调制解调系统,观察信号频谱。 三、实验原理 AM: 1)标准调幅就是常规双边带调制,简称调幅(AM)。将调制信号m(t)与一个直流分量A叠加后与载波相乘可形成调幅信号。AM信号的的频谱由载频分量、上边带、下边带组成。上边带的频谱结构与原调制信号的频谱结构相同,下边带是上边带的镜像。 2)DSB。若在AM调制模型中将A0去掉,即得到双边带信号(DSB)。与AM信号比较,因为不存在载波分量。 3)SSB。单边带调制(SSB)是将双边带信号中的一个边带滤掉而形成的。产生SSB信号的方法有:滤波法和相移法。SSB调制包括上边带调制和下边带调制。 解调: 解调是调制的逆过程,其作用是从接受的已调信号中恢复调制信号。解调的方法可分为两类:相干解调和非相干解调(包络检波)。 1)相干解调。解调与调制的实质一样,均是频谱搬移。即把在载频

位置的已调信号的浦搬回到原始基带位置。 2)包络检波。包络检波器就是直接从已调信号的幅度中提取预案调制信号。 FM: 调制中,若载频的频率随调制信号变化,称为频率调制或调频(FM)。调频信号的产生方法有两种:直接调频和间接调频。 1)直接调频。用调制信号直接控制载波振荡器的频率,使其按调制信号的规律线性变化。 2)间接调频。先将调制信号积分,然后对载波进行调相,即可产生一个NBFM信号,再经n次频倍器得到WBFM信号。 解调: 调频信号的解调也分为相干解调和非相干解调。相干解调仅适用于NBFM信号,而非相干解调对于NBFM和WBFM信号均适用。 四、实验内容 (一)标准调幅信号 实验代码: f=5; T=1/f; fc=500; A=1.5; ts=0.001; fs=1/ts; t=0:ts:2*T; mt=cos(2*pi*f*t)+cos(2*pi*2*f*t);%调制信号 ft=cos(2*pi*fc*t);%载波 yt=(mt+A).*ft;%调幅信号 N=2*T/ts;%设置抽样点数

实验报告一 模拟锁相环模块

模拟锁相环模块 信息工程学院08级电子班安艳芳0839107 一、实验目的 1、熟悉模拟锁相环的基本工作原理 2、掌握模拟字锁相环的基本参数及设计 二、实验仪器 JH5001通信原理综合实验系统(一台)、20MHz双踪示波器(一台)、函数信号发生器(一台) 三、实验原理和电路说明 锁相的重要性:在电信网中,同步是一个十分重要的概念。其最终目的使本地终端时钟源锁定在另一个参考时钟源上。同步的技术基础是锁相,因而锁相技术是通信中最重要的技术之一在系统工作中模拟锁相环将接收端的256KHz时钟锁在发端的256KHz的时钟上,来获得系统的同步时钟,如HDB3接收的同步时钟及后续电路同步时钟。 该模块主要由模拟锁相环UP01(MC4046)、数字分频器UP02(74LS161)、D触发器UP04(74LS74)、环路滤波器和由运放UP03(TEL2702)及阻容器件构成的输入带通滤波器(中心频率:256KHz)组成。因来自发端信道的HDB3码为归零码,归零码中含有256KHz时钟分量,经UP03B构成中心频率为256KHz 有源带通滤波器后,滤出256KHz时钟信号,该信号再通过UP03A放大,然后经UP04A和UP04B两个除二分频器(共四分频)变为64KHz信号,进入UP01鉴相输入A脚;VCO输出的512KHz输出信号经UP02进行八分频变为64KHz信号,送入UP01的鉴相输入B脚。经UP01内部鉴相器鉴相之后的误差控制信号经环路滤波器滤波送入UP01的压控振荡器输入端;WP01可以改变模拟锁相环的环路参数。正常时,VCO 锁定在外来的256KHz频率上。 模拟锁相环模块各跳线开关功能如下: 1、跳线开关KP01用于选择UP01的鉴相输出。当KP01设置于1_2时(左端),环路锁定时TPP03、 TPP05输出信号将存在一定相差;当KP01设置于2_3时(右端),选择三态门鉴相输出,环路锁定时TPP03、TPP05输出信号将不存在相差。 2、跳线开关KP021是用于选择输入锁相信号:当KP021置于1_2时,输入信号来自HDB3编码模块 的HDB3码信号;当KP021置于2_3时,选择外部的测试信号(J007输入),此信号用于测量该模拟锁相环模块的性能。

滤波法及数字锁相环法位同步提取实验 模拟锁相环实验 载波同步帧同步实验

实验十九滤波法及数字锁相环法位同步提取实验 实验项目三数字锁相环法位同步观测 (1)观测“数字锁相环输入”和“输入跳变指示”,观测当“数字锁相环输入”没有跳变和有跳变时“输入跳变指示”的波形。 从图中可以观察出,若前一位数据有跳变,则判断有效,“输入跳变指示”输出表示1;否则,输出0表示判断无效。 (2)观测“数字锁相环输入”和“鉴相输出”。观测相位超前滞后的情况 数字锁相环的超前—滞后鉴相器需要排除位流数据输入连续几位码值保持不变的不利影响。在有效的相位比较结果中仅给出相位超前或相位滞后两种相位误差极性,而相位误差的绝对大小固定不变。经观察比较,“鉴相输出”比“数字锁相环输入”超前两个码元。

(3)观测“插入指示”和“扣除指示”。 (4)以信号源模块“CLK ”为触发,观测13号模块的“BS2”。 思考题:分析波形有何特点,为什么会出现这种情况。 因为可变分频器的输出信号频率与实验所需频率接近,将其和从信号中提取的相位参考信号同时送入相位比较器,比较的结果若是载波频率高了,就通过补抹门抹掉一个输入分频器的脉冲,相当于本地振荡频率降低;相反,若示出本地频率低了时就在分频器输入端的两个输入脉冲间插入 一个脉冲,相当于本地振荡频率上升,从而了达到同步的目的。 思考题:BS2恢复的时钟是否有抖动的情况,为什么?试分析BS2抖动的区间有多大?如何减小这个抖动的区间? 有抖动的存在,是因为可变分频器的存在使得下一个时钟沿的到来时间不确定,从而引入了相位抖动。而这种引入的误差是无法消除的。减小相位抖动的方法就是将分频器的分频数提高。

实验二十 模拟锁相环实验 实验项目一 VCO 自由振荡观测 (1)示波器CH1接TH8,CH2接TH4输出,对比观测输入及输出波形。 实验项目二 同步带测量 (1) 示波器CH1接13号模块TH8模拟锁相环输入,CH2接TH4输出BS1,观察TH4 输出处于锁定状态。将正弦波频率调小直到输出波形失锁,此时的频率大小f1为 400Hz ;将频率调大,直到TH4输出处于失锁状态,记下此时频率f2为 9.25kHz 。 对比波形可以发现TH8与TH4信号输入与输出错位半个周期 如右图所示,方波抖动,说明处于失锁状态。 记下两次波形失锁的频率,可计 算 出 同 步 带 f=9.25KHz-400Hz=8.85KHz 。

通信原理实验报告

实验一、PCM编译码实验 实验步骤 1. 准备工作:加电后,将交换模块中的跳线开关KQ01置于左端PCM编码位置,此时MC145540工作在PCM编码状态。 2. PCM串行接口时序观察 (1)输出时钟和帧同步时隙信号观测:用示波器同时观测抽样时钟信号(TP504)和输出时钟信号(TP503),观测时以TP504做同步。分析和掌握PCM编码抽样时钟信号与输出时钟的对应关系(同步沿、脉冲宽度等)。 (2)抽样时钟信号与PCM编码数据测量:用示波器同时观测抽样时钟信号(TP504)和编码输出数据信号端口(TP502),观测时以TP504做同步。分析和掌握PCM编码输出数据与抽样时钟信号(同步沿、脉冲宽度)及输出时钟的对应关系。 3. PCM编码器 (1)方法一: (A)准备:将跳线开关K501设置在测试位置,跳线开关K001置于右端选择外部信号,用函数信号发生器产生一个频率为1000Hz、电平为2Vp-p的正弦波测试信号送入信号测试端口J005和J006(地)。 (B)用示波器同时观测抽样时钟信号(TP504)和编码输出数据信号端口(TP502),观测时以TP504做同步。分析和掌握PCM编码输出数据与抽样时钟信号(同步沿、脉冲宽度)及输出时钟的对应关系。分析为什么采用一般的示波器不能进行有效的观察。 (2)方法二: (A)准备:将输入信号选择开关K501设置在测试位置,将交换模块内测试信号选择开关K001设置在内部测试信号(左端)。此时由该模块产生一个1KHz的测试信号,送入PCM编码器。(B)用示波器同时观测抽样时钟信号(TP504)和编码输出数据信号端口(TP502),观测时以内部测试信号(TP501)做同步(注意:需三通道观察)。分析和掌握PCM编码输出数据与帧同步时隙信号、发送时钟的对应关系。 4. PCM译码器 (1)准备:跳线开关K501设置在测试位置、K504设置在正常位置,K001置于右端选择外部信号。此时将PCM输出编码数据直接送入本地译码器,构成自环。用函数信号发生器产生一个频率为1000Hz、电平为2Vp-p的正弦波测试信号送入信号测试端口J005和J006(地)。 (2) PCM译码器输出模拟信号观测:用示波器同时观测解码器输出信号端(TP506)和编码器输入信号端口(TP501),观测信号时以TP501做同步。定性的观测解码信号与输入信号的关系:质量、电平、延时。 5. PCM频率响应测量:将测试信号电平固定在2Vp-p,调整测试信号频率,定性的观测解码恢复出的模拟信号电平。观测输出信号信电平相对变化随输入信号频率变化的相对关系。

完整版锁相环工作原理.doc

基本组成和锁相环电路 1、频率合成器电路 频率合成器组成: 频率合成器电路为本机收发电路的频率源,产生接收第一本机信号源和发射电路的发射 信号源,发射信号源主要由锁相环和VCO 电路直接产生。如图3-4 所示。 在现在的移动通信终端中,用于射频前端上下变频的本振源(LO ),在射频电路中起着非常 重要的作用。本振源通常是由锁相环电路(Phase-Locked Loop )来实现。 2.锁相环: 它广泛应用于广播通信、频率合成、自动控制及时钟同步等技术领域 3.锁相环基本原理: 锁相环包含三个主要的部分:⑴鉴相器(或相位比较器,记为PD 或 PC):是完成相位比较的单元, 用来比较输入信号和基准信号的之间的相位.它的输出电压正比于两个输入信号之相位差.⑵低通滤波器(LPF): 是个线性电路,其作用是滤除鉴相器输出电压中的高频分量,起平滑滤波的 作用 .通常由电阻、电容或电感等组成,有时也包含运算放大器。⑶压控振荡器(VCO ):振

荡频率受控制电压控制的振荡器,而振荡频率与控制电压之间成线性关系。在PLL 中,压控振荡器实际上是把控制电压转换为相位。 1、压控振荡器的输出经过采集并分频; 2、和基准信号同时输入鉴相器; 3、鉴相器通过比较上述两个信号的频率差,然后输出一个直流脉冲电压; 4、控制 VCO ,使它的频率改变; 5、这样经过一个很短的时间,VCO的输出就会稳定于某一期望值。 锁相环电路是一种相位负反馈系统。一个完整的锁相环电路是由晶振、鉴相器、R 分频器、N 分频器、压控振荡器(VCO )、低通滤波器(LFP)构成,并留有数据控制接口。 锁相环电路的工作原理是:在控制接口对R 分频器和N 分频器完成参数配置后。晶振产生 的参考频率( Fref)经 R 分频后输入到鉴相器,同时VCO 的输出频率( Fout)也经 N 分频后输入到鉴相器,鉴相器对这两个信号进行相位比较,将比较的相位差以电压或电流的方式 输出,并通过 LFP 滤波,加到 VCO 的调制端,从而控制 VCO 的输出频率,使鉴相器两输入端的 输入频率相等。 锁相环电路的计算公式见公式: Fout=(N/R)Fref 由公式可见,只要合理设置数值N 和 R,就可以通过锁相环电路产生所需要的高频信号。 4.锁相环芯片 锁相环的基准频率为13MHz ,通过内部固定数字频率分频器生成5KHz 或 6.25KHz 的参考频率。 VCO 振荡频率通过IC1 内部的可编程分频器分频后,与基准频率进行相位比较,产 生误差控制信号,去控制VCO,改变VCO的振荡频率,从而使VCO输出的频率满足要求。如图 3-5 所示。 N=F VCO /F R N:分频次数 F VCO: VCO 振荡频率

通信原理(虚拟仿真实验)

实验五双极性不归零码 一、实验目的 1.掌握双极性不归零码的基本特征 2.掌握双极性不归零码的波形及功率谱的测量方法 3.学会用示波器和功率谱分析仪对信号进行分析 二、实验仪器 1.序列码产生器 2.单极性不归零码编码器 3.双极性不归零码编码器 4.示波器 5.功率谱分析仪 三、实验原理 双极性不归零码是用正电平和负电平分别表示二进制码1和0的 码型,它与双极性归零码类似,但双极性非归零码的波形在整个码元持续期间电平保持不变.双极性非归零码的特点是:从统计平均来看,该码型信号在1和0的数目各占一半时无直流分量,并且接收时判决电平为0,容易设置并且稳定,因此抗干扰能力强.此外,可以在电缆等无接地的传输线上传输,因此双极性非归零码应用极广.双极性非归零码常用于低速数字通信.双极性码的主要缺点是:与单极性非归零码一样,不能直接从双极性非归零码中提取同步信号,并且1码和0码不等概时,仍有直流成分。 四、实验步骤

1.按照图3.5-1 所示实验框图搭建实验环境。 2.设置参数:设置序列码产生器序列数N=128;观察其波形及功率谱。 3.调节序列数N 分别等于6 4.256,重复步骤2. 图3.5-1 双极性不归零码实验框图 实验五步骤2图 N=128

实验五步骤3图N=64 N=256

六、实验报告 (1)分析双极性不归零码波形及功率谱。 (2)总结双极性不归零码的波形及功率谱的测量方法。 实验六 一、实验目的 1.掌握双极性归零码的基本特征 2.掌握双极性归零码的波形及功率谱的测量方法 3.学会用示波器和功率谱分析仪对信号进行分析 二、实验仪器 1.序列码产生器 2.单极性不归零码编码器 3.双极性归零码编码器

2FSK实验报告

学生实验报告书 实验课程名称 开课学院 指导教师姓名 学生姓名 学生专业班级 200-- 200学年第学期

实验教学管理基本规范 实验是培养学生动手能力、分析解决问题能力的重要环节;实验报告是反映实验教学水平与质量的重要依据。为加强实验过程管理,改革实验成绩考核方法,改善实验教学效果,提高学生质量,特制定实验教学管理基本规范。 1、本规范适用于理工科类专业实验课程,文、经、管、计算机类实验课程可根据具体情况参 照执行或暂不执行。 2、每门实验课程一般会包括许多实验项目,除非常简单的验证演示性实验项目可以不写实验 报告外,其他实验项目均应按本格式完成实验报告。 3、实验报告应由实验预习、实验过程、结果分析三大部分组成。每部分均在实验成绩中占一 定比例。各部分成绩的观测点、考核目标、所占比例可参考附表执行。各专业也可以根据具体情况,调整考核内容和评分标准。 4、学生必须在完成实验预习内容的前提下进行实验。教师要在实验过程中抽查学生预习情况, 在学生离开实验室前,检查学生实验操作和记录情况,并在实验报告第二部分教师签字栏签名,以确保实验记录的真实性。 5、教师应及时评阅学生的实验报告并给出各实验项目成绩,完整保存实验报告。在完成所有 实验项目后,教师应按学生姓名将批改好的各实验项目实验报告装订成册,构成该实验课程总报告,按班级交课程承担单位(实验中心或实验室)保管存档。 6、实验课程成绩按其类型采取百分制或优、良、中、及格和不及格五级评定。

实验课程名称:__通信原理_____________

图3-2 2FSK调制器各点的时间波形 本次综合设计实验调制部分正是采用此方法设计的。整个调制系统包括:载波振荡器、分频器、反相器、调制器与加法器等单元电路组成。 2FSK)信号常用解调方法有很多种,在设计中利用过零检测法。 过零检测法是利用信号波形在单位时间内与零电平轴交叉的次数来测定信号频率。解调系 所示电路:

通信原理数字锁相环实验

通信原理实验报告三数字锁相环实验

实验3数字锁相环实验 一、实验原理和电路说明 在电信网中,同步是一个十分重要的概念。同步的种类很多,有时钟同步、比特同步等等,其最终目的使本地终端时钟源锁定在另一个参考时钟源上,如果所有的终端均采用这种方式,则所有终端将以统一步调进行工作。 同步的技术基础是锁相,因而锁相技术是通信中最重要的技术之一。锁相环分为模拟锁相环与数字锁相环,本实验将对数字锁相环进行实验。 图2.2.1 数字锁相环的结构 数字锁相环的结构如图所示,其主要由四大部分组成:参考时钟、多模分频器(一般为三种模式:超前分频、正常分频、滞后分频)、相位比较(双路相位比较)、高倍时钟振荡器(一般为参考时钟的整数倍,此倍数大于20)等。数字锁相环均在FPGA内部实现,其工作过程如图所示。

T1时刻T2时刻T3时刻T4时刻 图2.2.2 数字锁相环的基本锁相过程与数字锁相环的基本特征 在图,采样器1、2构成一个数字鉴相器,时钟信号E、F对D信号进行采样,如果采样值为01,则数字锁相环不进行调整(÷64);如果采样值为00,则下一个分频系数为(1/63);如果采样值为11,则下一分频系数为(÷65)。数字锁相环调整的最终结果使本地分频时钟锁在输入的信道时钟上。 在图中也给出了数字锁相环的基本锁相过程与数字锁相环的基本特征。在锁相环开始工作之前的T1时该,图中D点的时钟与输入参考时钟C没有确定的相关系,鉴相输出为00,则下一时刻分频器为÷63模式,这样使D点信号前沿提前。在T2时刻,鉴相输出为01,则下一时刻分频器为÷64模式。由于振荡器为自由方式,因而在T3时刻,鉴相输出为11,则下一时刻分频器为÷65模式,这样使D点信号前沿滞后。这样,可变分频器不断在三种模式之间进行切换,其最终目的使D点时钟信号的时钟沿在E、F时钟上升沿之间,从而使D 点信号与外部参考信号达到同步。 在该模块中,各测试点定义如下: 1、TPMZ01:本地经数字锁相环之后输出时钟(56KHz) 2、TPMZ02:本地经数字锁相环之后输出时钟(16KHz) 3、TPMZ03:外部输入时钟÷4分频后信号(16KHz) 4、TPMZ04:外部输入时钟÷4分频后延时信号(16KHz) 5、TPMZ05:数字锁相环调整信号

通信原理软件仿真实验报告-实验3-模拟调制系统—AM系统

成绩 西安邮电大学 《通信原理》软件仿真实验报告 实验名称:实验三模拟调制系统——AM系统院系:通信与信息工程学院 专业班级:通工 学生姓名: 学号:(班内序号) 指导教师: 报告日期:2013年5月15日

实验三模拟调制系统——AM系统 ●实验目的: 1、掌握AM信号的波形及产生方法; 2、掌握AM信号的频谱特点; 3、掌握AM信号的解调方法; 4*、掌握AM系统的抗噪声性能。 ●仿真设计电路及系统参数设置: 图1 模拟调制系统——AM系统仿真电路 建议时间参数:No. of Samples = 4096;Sample Rate = 20000Hz 1、记录调制信号与AM信号的波形和频谱; 调制信号为正弦信号,Amp= 1V,Freq=200Hz; 直流信号Amp = 2V; 余弦载波Amp = 1V,Freq= 1000Hz; 频谱选择|FFT|; 2、采用相干解调,记录恢复信号的波形和频谱; 接收机模拟带通滤波器Low Fc = 750Hz,Hi Fc = 1250Hz,极点个数6;接收机模拟低通滤波器Fc = 250Hz,极点个数为9;

3、采用包络检波,记录恢复信号的波形和频谱; 接收机包络检波器结构如下: 其中图符0为全波整流器Zero Point = 0V; 图符1为模拟低通滤波器Fc = 250Hz,极点个数为9; 4、在接收机模拟带通滤波器前加入高斯白噪声; 建议Density in 1 ohm = 0.00002W/Hz; 观察并记录恢复信号波形和频谱的变化; 5*、改变高斯白噪声的功率谱密度,观察并记录恢复信号的变化。 仿真波形及实验分析: 1、记录调制信号与AM信号的波形和频谱; 图1-1 调制信号波形 图1-2 AM已调信号波形

实验三:模拟锁相环与载波同步

实验三:模拟锁相环与载波同步 一、实验目的 1.模拟锁相环工作原理以及环路锁定状态、失锁状态、同步带、捕捉带等基本概念。 2.掌握用平方法从2DPSK信号中提取相干载波的原理及模拟锁相环的设计方法。 3.了解相干载波相位模糊现象产生的原因。 二、实验内容 1. 观察模拟锁相环的锁定状态、失锁状态及捕捉过程。 2. 观察环路的捕捉带和同步带。 3. 用平方环法从2DPSK信号中提取载波同步信号,观察相位模糊现象。 三、实验步骤 本实验使用数字信源单元、数字调制单元和载波同步单元。 1.熟悉载波同步单元的工作原理。接好电源线,打开实验箱电源开关。 2.检查要用到的数字信源单元和数字调制单元是否工作正常(用示波器观察信源NRZ-OUT(AK)和调制2DPSK信号有无,两者逻辑关系正确与否)。 3. 用示波器观察载波同步模块锁相环的锁定状态、失锁状态,测量环路的同步带、捕捉带。 环路锁定时u d 为直流、环路输入信号频率等于反馈信号频率(此锁相环中 即等于VCO信号频率)。环路失锁时u d 为差拍电压,环路输入信号频率与反馈信号频率不相等。本环路输入信号频率等于2DPSK载频的两倍,即等于调制单元CAR信号频率的两倍。环路锁定时VCO信号频率等于CAR-OUT信号频率的两倍。所以环路锁定时调制单元的CAR和载波同步单元的CAR-OUT频率完全相等。 根据上述特点可判断环路的工作状态,具体实验步骤如下: (1)观察锁定状态与失锁状态 打开电源后用示波器观察u d ,若u d 为直流,则调节载波同步模块上的可变电 容C 34,u d 随C 34 减小而减小,随C 34 增大而增大(为什么?请思考),这说明环路 处于锁定状态。用示波器同时观察调制单元的CAR和载波同步单元的CAR-OUT,可以看到两个信号频率相等。若有频率计则可分别测量CAR和CAR-OUT频率。在 锁定状态下,向某一方向变化C 34,可使u d 由直流变为交流,CAR和CAR-OUT频 率不再相等,环路由锁定状态变为失锁。

数字锁相环试验讲义锁相环的分类模拟数字如何定义何谓

数字锁相环试验讲义 一、锁相环的分类 模拟、数字如何定义?何谓数字锁相环。是指对模拟信号进行采样量化之后(数字化)的“数字信号”的处理中应用的锁相环,还是指的对真正的“数字信号”如时钟波形进行锁定的锁相环? 二、数字锁相环的实际应用 欲成其事,先明其义。 现代数字系统设计中,锁相环有什么样的作用。 1)在ASIC设计中的应用。 主要应用领域:窄带跟踪接收;锁相鉴频;载波恢复;频率合成。 例一:为了达到ASIC设计对时钟的要求,许多工程师都在他们的设计中加入了锁相环(PLL)。PLL有很多理想的特性,例如可以倍频、纠正时钟信号的占空比以及消除时钟在分布中产生的延迟等。这些特性使设计者们可以将价格便宜的低频晶振置于芯片外作为时钟源,然后通过在芯片中对该低频时钟源产生的信号进行倍频来得到任意更高频率的内部时钟信号。同时,通过加入PLL,设计者还可以将建立-保持时间窗与芯片时钟源的边沿对齐,并以此来控制建立-保持时间窗和输入时钟源与输出信号之间的延迟。 2)在信号源产生方面的应用 例二:由于无线电通信技术的迅速发展,对振荡信号源的要求也在不断提高。不但要求它的频率稳定度和准确度高,而且要求能方便地改换频率。实现频率合成有多种方法,但基本上可以归纳为直接合成法与间接合成法(锁相环路)两大类。 3)无线通信领域的实际应用 例三:GSM手机的频率系统包括参考频率锁相环,射频本振锁相环、中频本振锁相环。 广义的数字锁相环包括扩频通信中的码跟踪。 三、数字锁相环的基本原理 一般数字锁相环路的组成与模拟锁相环路相同,即也是由相位检波器、环路滤波器和本地振荡器等基本部件构成,但这些部件全部采用数字电路。具体来说数字锁相环由:数字鉴相器、数字环路滤波器、NCO和分频器组成。 四、实际应用中的数字锁相环的实现方法 PLL的结构和功能看起来十分简单,但实际上却非常复杂,因而即使是最好的电路设计者也很难十分顺利地完成PLL的设计。 在实际应用中,针对数字信号或数字时钟的特点,数字锁相环多采用超前滞后型吞吐脉冲的锁相环路来实现。 下面的框图是一个实用的数字锁相环的实现框图。

锁相环

锁相环路 主要内容: 模块介绍 项目训练 1、模块介绍 1.1 锁相环路基本工作原理 图6-1 锁相环路的基本组成框架 鉴相器(PD ):用以比较i u 、o u 相位, 输出反映相位误差 的电压()D u t 。 环路滤波器(LF ):用以滤除误差信号中的高频分量和噪声,提高系统稳定性。 压控振荡器(VCO ):在()C u t 控制下输出相应频率o f 。 图6-2 o U 与i U 的频率和相位之间的关系 两个正弦信号的频率和相位之间的关系如图6-2所示,若能保证两个信号之间的相位差恒定,则这两个信号的频率必相等。 若i o ωω≠,则称电路处于失锁状态,()i u t 和()o u t 之间产生相位变化,鉴相器

输出误差电压()D u t ,它与瞬时误差相位成正比,经过环路滤波,滤除了高频分量和噪声而取出缓慢变化的电压()C u t ,控制VCO 的角频率o ω,去接近i ω。最终使 i o ωω=,相位误差为常数,环路锁定,这时相位误差称为剩余相位误差或稳态相 位误差。 1. 2 锁相环路的相位模型及性能分析 一、鉴相器(PD) 设压控振荡器的输出电压为 [])(cos )(o 0o om o t t U t u ?ω+= ωo0 是压控振荡器未加控制电压固有振荡角频率, ?o(t)是以ωo0为参考的瞬时相位, 环路输入电压为)sin()(i im i t U t u ω=, 其相位可改写为)()(i o0o0i o0i t t t t t ?ωωωωω+=-+=, 则()i u t 与()o u t 之间的瞬时相位差为)()()(o i e t t t ???-=, 设鉴相器具有正弦鉴相特性,则[])(sin )(e d D t A t u ?=。 二、压控振荡器(VCO) 在c u = 0 附近,控制特性近似线性: o o0o c ()()t A u t ωω=+ o rad /(s )A V ?式中,是控制灵敏度(增益系数),单位 可见压控振荡器是一个理想的积分器,将积分符号用微分算子p =d/d t 的 倒数表示,则得 )()(c o o t u p A t = ? 1. 3 集成锁相环路 按电路构成分类,继承锁相环分为模拟锁相环和数字锁相环;按用途分类,集成锁相环分为通用PLL 和专用PLL 。

模拟锁相环实验报告

实验一 模拟锁相环模块 一、实验原理和电路说明 模拟锁相环模块在通信原理综合实验系统中可作为一个独立的模块进行测试。在系统工作中模拟锁相环将接收端的256KHz 时钟锁在发端的256KHz 的时钟上,来获得系统的同步时钟,如HDB3接收的同步时钟及后续电路同步时钟。 f 0=256K H z 64K H z U P 04U P 03B U P 02 U P 01512K H z 分频器÷4 分频器÷8 H D B 3 环路 滤波器 放大器图 2.1.1 模拟锁相环组成框图 T P P 02T E S T 跳线器K P 02V C O T P P 03T P P 06 T P P 04T P P 05 256K b itp s T P P 07带通滤波器 T P P 01 U P 03A 64K H z 该模块主要由模拟锁相环UP01(MC4046)、数字分频器UP02(74LS161)、D 触发器UP04(74LS74)、环路滤波器和由运放UP03(TEL2702)及阻容器件构成的输入带通滤波器(中心频率:256KHz )组成。在UP01内部有一个振荡器与一个高速鉴相器组成。该模拟锁相环模块的框图见图2.1.1。因来自发端信道的HDB3码为归零码,归零码中含有256KHz 时钟分量,经UP03B 构成中心频率为256KHz 有源带通滤波器后,滤出256KHz 时钟信号,该信号再通过UP03A 放大,然后经UP04A 和UP04B 两个除二分频器(共四分频)变为64KHz 信号,进入UP01鉴相输入A 脚;VCO 输出的512KHz 输出信号经UP02进行八分频变为64KHz 信号,送入UP01的鉴相输入B 脚。经UP01内部鉴相器鉴相之后的误差控制信号经环路滤波器滤波送入UP01的压控振荡器输入端;WP01可以改变模拟锁相环的环路参数。正常时,VCO 锁定在外来的256KHz 频率上。 模拟锁相环模块各跳线开关功能如下:

锁相环CD4046 原理及应用

锁相环 CD4046 原理及应用 锁相的意义是相位同步的自动控制,能够完成两个电信号相位同步的自动控制闭环系统叫做锁相环,简称PLL。它广泛应用于广播通信、频率合成、自动控制及时钟同步等技术领域。锁相环主要由相位比较器(PC)、压控振荡器(VCO)、低通滤波器三部分组成,如图1所示。 图1 压控振荡器的输出Uo接至相位比较器的一个输入端,其输出频率的高低由低通滤波器上建立起来的平均电压Ud大小决定。施加于相位比较器另一个输入端的外部输入信号Ui与来自压控振荡器的输出信号Uo相比较,比较结果产生的误差输出电压UΨ正比于Ui和Uo两个信号的相位差,经过低通滤波器滤除高频分量后,得到一个平均值电压Ud。这个平均值电压Ud朝着减小CO输出频率和输入频率之差的方向变化,直至VCO输出频率和输入信号频率获得一致。这时两个信号的频率相同,两相位差保持恒定(即同步)称作相位锁定。 当锁相环入锁时,它还具有“捕捉”信号的能力,VCO可在某一范围内自动跟踪输入信号的变化,如果输入信号频率在锁相环的捕捉范围内发生变化,锁相环能捕捉到输人信号频率,并强迫VCO锁定在这个频率上。锁相环应用非常灵活,如果输入信号频率f1不等于VCO输出信号频率f2,而要求两者保持一定的关系,例如比例关系或差值关系,则可以在外部加入一个运算器,以满足不同工作的需要。过去的锁相环多采用分立元件和模拟电路构成,现在常使用集成电路的锁相环,CD4046是通用的CMOS锁相环集成电路,其特点是电源电压范围宽(为3V-18V),输入阻抗高(约100MΩ),动态功耗小,在中心频率f0为10kHz下功耗仅为600μW,属微功耗器件。图2是CD4046的引脚排列,采用 16 脚双列直插式,各引脚功能如下: 图2?1脚相位输出端,环路人锁时为高电平,环路失锁时为低电平。 ?2脚相位比较器Ⅰ的输出端。 ?3脚比较信号输入端。 ?4脚压控振荡器输出端。 ?5脚禁止端,高电平时禁止,低电平时允许压控振荡器工作。 ?6、7脚外接振荡电容。 ?8、16脚电源的负端和正端。 ?9脚压控振荡器的控制端。 ?10脚解调输出端,用于FM解调。 ?11、12脚外接振荡电阻。

实验三 模拟锁相环与载波同步实验

实验三模拟锁相环与载波同步实验 一、实验目的 1. 掌握模拟锁相环的工作原理,以及环路的锁定状态、失锁状态、同步带、捕捉带等基本概念 2. 掌握用平方环法从2DPSK信号中提取相干载波的原理及模拟锁相环的设计方法 3. 了解相干载波相位模糊现象产生的原因 二、实验内容 1. 观察模拟锁相环的锁定状态、失锁状态及捕捉过程 2. 观察环路的捕捉带和同步带 3. 用平方环法从2DPSK信号中提取载波同步信号,观察相位模糊现象 三、基本原理 常用平方环或同相正交环(科斯塔斯环)从2DPSK信号中提取相干载波。本实验用平方环,其原理方框图及电路原理图如图3-1、图3-2所示。 图3-1 载波同步方框图 载波同步模块上有以下测试点及输入输出点: ? 2DPSK-IN 2DPSK信号输入点 ? MU 平方器输出测试点,V P-P>1V ? COMP 锁相环输入信号测试点 ? Ud 锁相环压控电压测试点 ? VCO 锁相环输出信号测试点,V P-P>0.2V ? CAR-OUT 相干载波信号输出点/测试点

图3-2 载波同步电原理图

图3-1中各单元与图3-2中的主要元器件的对应关系如下: ? 平方器 U2:模拟乘法器MC1496 ? 鉴相器 U4: 锁相环HC4046 ? 环路滤波器 U4: 锁相环HC4046 ? 压控振荡器 U4: 锁相环HC4046 ? ÷2 U6:D 触发器74HC74 ? 移相器 U8:单稳态触发器74LS123 ? 滤波器 电感L1;电容C43 ? 压控振荡器 U5: 锁相环CD4046 锁相环由鉴相器(PD )、环路滤波器(LF )及压控振荡器(VCO )组成,如图3-3所示。 u o (t) 图3-3 锁相环方框图 模拟锁相环中,PD 是一个模拟乘法器,LF 是一个有源或无源低通滤波器。锁相环路是一个相位负反馈系统,PD 检测u i (t)与u o (t)之间的相位误差并进行运算形成误差电压u d (t),LF 用来滤除乘法器输出的高频分量(包括和频及其他的高频噪声)形成控制电压u c (t),在u c (t)的作用下、u o (t)的相位向u i (t)的相位靠近。设u i (t)=U i sin[ωi t+θi (t)],u o (t)=U o cos[ωi t+θo (t)],则u d (t)=U d sin θe (t),θe (t)=θi (t)-θo (t),故模拟锁相环的PD 是一个正弦PD 。设u c (t)=u d (t)F(P),F(P)为LF 的传输算子,VCO 的压控灵敏度为K o ,则环路的数学模型如图3-4所示。 θi (t) o (t) 图3-4 模拟环数学模型 当6 )(π θ≤ t e 时,e d e d U t U θθ=)(sin ,令K d =U d 为PD 的线性化鉴相灵敏度、单位

PLL 锁相环原理

什么是锁相环(PLL)工作原理及对硬件电路连接的要求锁相环是一种反馈电路,其作用是使得电路上的时钟和某一外部时钟的相位同 步。PLL通过比较外部信号的相位和由压控晶振(VCXO)的相位来实现同步的,在 比较的过程中,锁相环电路会不断根据外部信号的相位来调整本地晶振的时钟相位,直到两个信号的相位同步。 在数据采集系统中,锁相环是一种非常有用的同步技术,因为通过锁相环,可以使得不同的数据采集板卡共享同一个采样时钟。因此,所有板卡上各自的本地80MHz 和20MHz时基的相位都是同步的,从而采样时钟也是同步的。因为每块板卡的采样时钟都是同步的,所以都能严格地在同一时刻进行数据采集。 通过锁相环同步多块板卡的采样时钟所需要的编程技术会根据您所使用的硬件板卡的不同而不同。对于基于PCI总线的产品(M系列数据采集卡,PCI数字化仪等),所有的同步都是通过RTSI总线上的时钟和触发线来实现的;这时,其中一块版板卡会作为主卡并且输出其内部时钟,通过RTSI线,其他从板卡就可以获得这个用于同步的时钟信号,对于基于PXI总线的产品,则通过将所有板卡的时钟于PXI内置的 10MHz背板时钟同步来实现锁相环同步的。 锁相环(PLL)的工作原理 1.锁相环的基本组成 许多电子设备要正常工作,通常需要外部的输入信号与内部的振荡信号同步,利用锁相环路就可以实现这个目的。 锁相环路是一种反馈控制电路,简称锁相环(PLL,Phase-Locked Loop)。锁相环的特点是:利用外部输入的参考信号控制环路内部振荡信号的频率和相位。 因锁相环可以实现输出信号频率对输入信号频率的自动跟踪,所以锁相环通常用于闭环跟踪电路。锁相环在工作的过程中,当输出信号的频率与输入信号的频率相等时,输出电压与输入电压保持固定的相位差值,即输出电压与输入电压的相位被锁住,这就是锁相环名称的由来。 锁相环通常由鉴相器(PD,Phase Detector)、环路滤波器(LF,Loop Filter)和压控振荡器(VCO,Voltage Controlled Oscillator)三部分组成,锁相环组成的 原理框图如图8-4-1所示。 锁相环中的鉴相器又称为相位比较器,它的作用是检测输入信号和输出信号的相位差,并将检测出的相位差信号转换成u D(t)电压信号输出,该信号经低通滤波器滤波后形成压控振荡器的控制电压u C(t),对振荡器输出信号的频率实施控制。

实验三 模拟锁相环与载波同步

实验三 模拟锁相环与载波同步 一、实验目的 1.掌握模拟锁相环的工作原理,以及环路的锁定状态、失锁状态、同步带、捕捉带等基本概念。 2.掌握用平方环法从 2DPSK 信号中提取相干载波的原理及模拟锁相环的设计方法。 3.了解2DPSK 相干载波相位模糊现象产生的原因。 二、实验原理 通信系统常用平方环或同相正交环(科斯塔斯环)从 2DPSK 信号中提取相干载波。本实验使用平方环提取想干载波,其载波同步原理方框图如图 l 所示。 图1 载波同步方框图 锁相环由鉴相器(PD )、环路滤波器(LF )、及压控振荡器(VCO )组成,如图2所示。 图2 锁相环方框图 模拟锁相环中,PD 是一个模拟乘法器,LF 是一个有源或无源低通滤波器。锁相环路是一个相位负反馈系统,PD 检测 u i (t)与 u o (t)之间的相位误差并进行运算形成误差电压 u d (t),LF 来滤除乘法器输出的高频分量(包括和频及其他的高频噪声)形成控制电压 u c (t),在 u o (t)的作用下、u o (t)的相位向u i (t)的相位靠近。设u i (t)=U i sin [ωi t+θi (t)],u o (t)=U o sin [ωo t+θo (t)],则 ud(t) =Udsin θe (t),θe (t) =θi (t)- θo (t),故模拟锁相环的 PD 是一个正弦PD 。设u c (t)=u d (t)F (P),F (P )为LF 的传输算子,VCO 的压控灵敏度为K ,则环路的数学模型如图 3 所示。 图3 模拟环数学模型 当6)(π θ≤t e 时,U d sin =)(t c θU d e θ,令d d U K =为PD 的线性化鉴相灵敏度、单位为V/rad ,则环路线性化数学模型如图4所示。

相关文档