文档库 最新最全的文档下载
当前位置:文档库 › 中南大学数字电子技术试卷大全一

中南大学数字电子技术试卷大全一

中南大学数字电子技术试卷大全一
中南大学数字电子技术试卷大全一

中南大学信息院《数字电子技术基础》

测试样卷(第一套)

一、填空题:(每空1分,共15分)

1.逻辑函数的两种标准形式分别为()、

()。

2.将2004个“1”异或起来得到的结果是()。

3.半导体存储器的结构主要包含三个部分,分别是()、()、()。

4.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为()v;当输入为10001000,则输出电压为()v。5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。

6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。

7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。

二、根据要求作题:(共15分)

1.将逻辑函数P=AB+AC写成与或非型表达式,并用集电极开路门来实现。2.图1、2中电路均由CMOS门电路构成,写出P、Q的表达式,并画出对应A、

B、C的P、Q波形。

三、分析图3所示电路,写出F1、F2的逻辑表达式,说明电路的逻辑功能。图中所用器件是8选1数据选择器74LS151。

(10分)

四、设计一位十进制数的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最简与非门实现的逻辑电路图。

(15分)

五、已知电路及CP、A的波形如图5(a)(b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。

(8分)

B

C

六、用T触发器和异或门构成的某种电路如图6(a)所示,在示波器上观察到波形如图6(b)所示。试问该电路是如何连接的?请在原图上画出正确的连接图,并标明T的取值。(6分)

七、电路如图7所示,其中RA=RB=10kΩ,C=0.1μf,试问:

1.在Uk为高电平期间,由555定时器构成的是什么电路,其输出U0的频率f0=? 2.分析由JK触发器FF1、FF2、FF3构成的计数器电路,要求:写出驱动方程和状态方程,列出状态转换表,画出完整的状态转换图;

3.设Q3、Q2、Q1的初态为000,Uk所加正脉冲的宽度为Tw=6/f0,脉冲过后Q3、Q2、Q1将保持在哪个状态?(共15分)

八、图8所示是16*4位ROM和同步十六进制加法计数器74LS161组成的脉冲分频电路。ROM中的数据见表8所示。试画出在CP信号连续作用下的D3、D2、D1、D0输出的电压波形,并说明它们和CP信号频率之比。(16分)

CP波形如图所示:

中南大学信息学院

《数字电子技术基础》测试样卷(第一套)参考答案一、填空(每空1分,共15分)

1.

2.0

3.地址译码器、存储矩阵、输出缓冲器

4.0.039、5.31

5.双积分型、逐次逼近型

6.施密特触发器、单稳态触发器

7.结构控制字、输出逻辑宏单元、E2CMOS

二、根据要求作题:(共15分)

1.,OC门线与实现图略

2.

三、

(1)列真值表:

(2)逻辑功能:全减器

四、设用A3A2A1A0表示该数,输出F。列出真值表如下:

逻辑表达式为:

逻辑图如下:

五、

六、T=1,连线,图略。

七、1.多谐振荡器f0=476Hz;

2.写出驱动方程3分,状态方程3分,列出状态转换000->100->110->111->011->001->回到100;

3.Q3Q2Q1=100(3分);

八、D3、D2、D1、D0频率比分别是1/15、3/15、5/15、7/15;

中南大学信息院《数字电子技术基础》

测试样卷 (第二套)

一、填空题:(每空1分,共16分)

1.逻辑函数有四种表示方法,它们分别是()、()、()和()。

2.将2004个“1”异或起来得到的结果是()。

3.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是()电路和()电路。

4.施密特触发器有()个稳定状态.,多谐振荡器有()个稳定状态。5.已知Intel2114是1K* 4位的RAM集成电路芯片,它有地址线()条,数据线()条。

6.已知被转换的信号的上限截止频率为10kHz,则A/D转换器的采样频率应高于()kHz;完成一次转换所用的时间应小于()。

7.GAL器件的全称是(),与PAL相比,它的输出电路是通过编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使用更为方便灵活。

二、根据要求作题:(共16分)

1.试画出用反相器和集电极开路与非门实现逻辑函数。

2、图1、2中电路由TTL门电路构成,图3由CMOS门电路构成,试分别写出F1、F2、F3的表达式。

三、已知电路及输入波形如图4(a)(b)所示,其中FF1是D锁存器,FF2是维持-阻塞D触发器,根据CP和D的输入波形画出Q1和Q2的输出波形。设触发器的初始状态均为0。(8分)

四、分析图5所示电路,写出Z1、Z2的逻辑表达式,列出真值表,说明电路的逻辑功能。(10分)

五、设计一位8421BCD码的判奇电路,当输入码为奇数时,输出为1,否则为0。要求使用两种方法实现:

(1)用最简与非门实现,画出逻辑电路图;

(2)用一片8选1数据选择器74LS151加若干门电路实现,画出电路图。

(20分)

六、电路如图7所示,其中RA=RB=10kΩ,C=0.1μf,试问:

1.在Uk为高电平期间,由555定时器构成的是什么电路,其输出U0的频率f0=? 2.分析由JK触发器FF1、FF2、FF3构成的计数器电路,要求:写出驱动方程和状态方程,画出完整的状态转换图;

2.设Q3、Q2、Q1的初态为000,Uk所加正脉冲的宽度为Tw=5/f0,脉冲过后Q3、Q2、Q1将保持在哪个状态?

(共15分)

七、集成4位二进制加法计数器74161的连接图如图8所示,LD是预置控制端;D0、D1、D2、D3是预置数据输入端;Q3、Q2、Q1、Q0是触发器的输出端,Q0是最低位,Q3是最高位;LD为低电平时电路开始置数,LD为高电平时电路计数。试分析电路的功能。要求:

(1)列出状态转换表;

(2)检验自启动能力;

(3)说明计数模值。(15分)

中南大学信息学院《数字电子计数基础》测试样卷(第二套)参考答案

一、填空(每空1分,共16分)

1.真值表、逻辑图、逻辑表达式、卡诺图;

2.0;

3.TTL、CMOS ;

4.两、0;

5.10、4;

6.20、50μS;

7.通用阵列逻辑、输出逻辑宏单元、E2CMOS;

二、根据要求作题:(共16分)

1.

2.

三、

四、(1)表达式

(2)真值表

(3)逻辑功能为:全减器

五、首先,根据电路逻辑描述画出卡诺图:

(1)最简“与-或式”为:;

(2)“与非-与非式”为:

(与非门实现图略)

六、

(1)多谐振荡器;

(2)驱动方程:

状态方程:

状态转换图:

(3)初态为000,五个周期后将保持在100状态。

七、

(1)状态转换图:

(2)可以自启动;

(3)模=8;

中南大学信息院《数字电子技术基础》

测试样卷 (第三套)

一、填空(每题1分,共10分)

1. TTL门电路输出高电平为 V,阈值电压为 V;

2.触发器按动作特点可分为基本型、、和边沿型;

3.组合逻辑电路产生竞争冒险的内因是;

4.三位二进制减法计数器的初始状态为101,四个CP脉冲后它的状态为;

5.如果要把一宽脉冲变换为窄脉冲应采用触发器;

6. RAM的扩展可分为、扩展两种;

7. PAL是可编程,EPROM是可编程;

8. GAL中的OLMC可组态为专用输入、、寄存反馈输出等几种工作模式;

9.四位DAC的最大输出电压为5V,当输入数据为0101时,它的输出电压为 V;

10.如果一输入电压的最大值为1V,采用3位ADC时它的量化阶距为V。

二、写出下列各图中的输出逻辑表达式,并化为最简与或式;

(G1、G2为OC门,TG1、TG2为CMOS传输门) (10分)

三、由四位并行进位全加器74LS283构成图2所示:(15分)

1. 当A=0,X3X2X1X0=0011,Y3Y2Y1Y0=0100求Z3Z2Z1Z0=?,W=?

2.当A=1,X3X2X1X0=1001,Y3Y2Y1Y0=0101求Z3Z2Z1Z0=?,W=?

3.写出X(X3X2X1X0),Y(Y3Y2Y1Y0),A与Z(Z3Z2Z1Z0),W之间的算法公式,并指出其

功能.

四、试画出图3在CP脉冲作用下Q1,Q2,Y对应的电压波形。

(设触发器的初态为0,画6个完整的CP脉冲的波形) (15分)

五、由可擦可编程只读存储器EPROM2716构成的应用电路如图所示。

1.计算EPROM2716的存储容量;

2.当ABCD=0110时,数码管显示什么数字;

3.写出Z的最小项表达式,并化为最简与或式;(15分)

六、由同步十进制加法计数器74LS160构成一数字系统如图所示,假设计数器的初态为0,测得组合逻辑电路的真值表如下所示:(20分)

1.画出74LS160的状态转换图;

2.画出整个数字系统的时序图;

3.如果用同步四位二进制加法计数器74LS161代替74LS160,试画出其电路图(要求采用置数法);

4. 试用一片二进制译码器74LS138辅助与非门实现该组合逻辑电路功能。

七、时序PLA电路如图所示:

1、求该时序电路的驱动方程、状态方程、输出方程;

2、画该电路的状态转换表;

3、若X为输入二进制序列10010011,其波形如图所示,画Q1、Q2和Z的波形;

4、说明该电路的功能。(16分)

中南大学信息学院《数字电子技术基础》测试样卷(第三套)参考答案

一、填空题:

1. 3.4 V、1.4 V;

2.同步型、主从型;

3.逻辑器件的传输延时;

4.001 ;

5.积分型单稳态;

6.字扩展、位扩展;

7.与阵列、或阵列;

8.组合输出;

9.5/3 V;

10.1/7 V;

二、

(1)

(2)

三、

(1)A=0时:Z=X+Y=0111;W=Co=0;

(2)A=1时:=0100;;

(3)电路功能为有符号数求和运算:

四、

中南大学往届电子技术试卷及答案

---○---○--- ---○---○--- ………… 评卷密封线 ……………… 密封线内不要答题,密封线外不准填写考生信息,违者考试成绩按0分处理 ……………… 评卷密封线 ………… 中南大学考试试卷(1) 20 ~20 学年 1 学期 电子技术 课程 时间100分钟 一、 选择题(本题10分,每小题2分) 1、电路如图所示,所有二极管均为理想元件,则D1、D2、D3的工作状态为( )。 A .D1导通,D2、D3截止; B .D1、D2截止,D3导通; C .D1、D3截止,D2导通; D .D1、D2、D3均截止; 2、存储器的电路结构中包含( )、存储电路和输入/输出电路(或读写控制电路)这三个组成部分。 3、已知Intel2114是1K* 4位的RAM 集成电路芯片,它有地址线( )条,数据线( )条。 4、右图所示波形反映的逻辑函数是( )。 (其中A 、B 为输入波形,Y 为输出波形) A .与非; B .异或; C .同或; D .或; 5、对功率放大电路的基本要求是在不失真的情况下能有( )。 A .尽可能高的电压放大倍数 B.尽可能大的输出功率 C. 尽可能小的零点漂移 6、位D/A 转换器当输入数字量10000000为5v 。若只有最低位为高电平,则输出电压为( )v ;当输入为10001000,则输出电压为( )v 。 7、已知被转换的信号的上限截止频率为10kHz ,则A/D 转换器的采样频率应高于( )kHz ;完成一次转换所用的时间应小于( )。

二、简答题(24分) 1.写出下图电路输出逻辑表达式。 2.图中各触发器的初始状态Q=0,试画出在CP信号连续作用下各触发器Q端的电压波形。 6、如图所示为一555定时器应用电路 (1)说明该电路的功能; (2)试画出电路的电压传输特性曲线。 4.下图电路中,变压器副边电压有效值为2U2。 (1)画出u2、u D1和u O的波形; (2)求出输出电压平均值U O(AV)

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

中南大学往届电子技术试卷及答案

¥ ---○---○--- ~ 学 院 专业班级 学 号 姓 名 ………… 评卷密封线 ……………… 密封线内不要答题,密封线外不准填写考生信息,违者考试成绩按0分处理 ……………… 评卷密封线 ………… 中南大学考试试卷(1) 20 ~20 学年 1 学期 电子技术 课程 时间100分钟 80学时, 5 学分,闭卷,总分100分,占总评成绩 60 % 题 号 一 】 二 三 四 五 六 七 八 合 计 ; 满 分 10 19 16 12 8 15 10 10 < 100 得 分 — 评卷人 复查人 一、选择题(本题10分,每小题2分) 1、电路如图所示,所有二极管均为理想元件,则D1、D2、D3的工作状态为( )。 A .D1导通,D2、D3截止; B .D1、D2截止,D3导通; C .D1、D3截止,D2导通; D .D1、D2、D3均截止; 《 2、存储器的电路结构中包含( )、存储电路和输入/输出电路(或读写控制电路)这三个组成部分。 3、已知Intel2114是1K* 4位的RAM 集成电路芯片,它有地址线( )条,数据线( )条。 4、右图所示波形反映的逻辑函数是( )。 (其中A 、B 为输入波形,Y 为输出波形) A .与非; B .异或; C .同或; D .或; 5、对功率放大电路的基本要求是在不失真的情况下能有( )。 A .尽可能高的电压放大倍数 B.尽可能大的输出功率 | C. 尽可能小的零点漂移 6、位D/A 转换器当输入数字量为5v 。若只有最低位为高电平,则输出电压为( )得 分 …

二、简答题(24分) 1.写出下图电路输出逻辑表达式。 2.图中各触发器的初始状态Q=0,试画出在CP信号连续作用下各触发器Q端的电压波形。 6、如图所示为一555定时器应用电路 (1)说明该电路的功能; (2)试画出电路的电压传输特性曲线。 4.下图电路中,变压器副边电压有效值为2U2。 (1)画出u2、u D1和u O的波形; (2)求出输出电压平均值U O(AV) 得分 评卷人

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

中南大学往届电子技术试卷及答案

. ---○---○--- ---○---○--- 学 院 专业班级 学 号 姓 名 ………… 评卷密封线 ……………… 密封线内不要答题,密封线外不准填写考生信息,违者考试成绩按0分处理 ……………… 评卷密封线 ………… 中南大学考试试卷(1) 20 ~20 学年 1 学期 电子技术 课程 时间100分钟 80学时, 5 学分,闭卷,总分100分,占总评成绩 60 % 题 号 一 二 三 四 五 六 七 八 合 计 满 分 10 19 16 12 8 15 10 10 100 得 分 评卷人 复查人 一、选择题(本题10分,每小题2分) 1、电路如图所示,所有二极管均为理想元件,则D1、D2、D3的工作状态为( )。 A .D1导通,D2、D3截止; B .D1、D2截止,D3导通; C .D1、D3截止,D2导通; D .D1、D2、D3均截止; 2、存储器的电路结构中包含( )、存储电路和输入/输出电路(或读写控制电路)这三个组成部分。 3、已知Intel2114是1K* 4位的RAM 集成电路芯片,它有地址线( )条,数据线( )条。 4、右图所示波形反映的逻辑函数是( )。 (其中A 、B 为输入波形,Y 为输出波形) A .与非; B .异或; C .同或; D .或; 5、对功率放大电路的基本要求是在不失真的情况下能有( )。 A .尽可能高的电压放大倍数 B.尽可能大的输出功率 C. 尽可能小的零点漂移 6、位D/A 转换器当输入数字量10000000为5v 。若只有最低位为高电平,则输出电压为( )v ;当输入为10001000,则输出电压为( )v 。 7、已知被转换的信号的上限截止频率为10kHz ,则A/D 转换器的采样频率应高于( )kHz ;完成一次转换所用的时间应小于( )。 得 分 评卷人

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

中南大学电子技术2试卷及答案-第4套

---○---○ --- ---○---○ --- ………… 评卷密封线 ……………… 密封线内不要答题,密封线外不准填写考生信息,违者考试成绩按0分处理 ……………… 评卷密封线 ………… 中南大学考试试卷 (4) 时间100分钟 20 ~20 学年 学期电子技术课程期末考试试题 80 学时,闭卷,总分100分,占总评成绩60 % 一、填空题(共20分,每空1分) 1、场效应管是( )控制元件,而双极型三极管 是( )控制元件。晶体管在模拟电路中工作在 ( )区; 在数字电路中工作在( )区。 2、在一个交流放大电路中,测出某双极型三极管三个管脚对地电位为: (1)端为1.5V (2)端为4V (3)端为2.1V 则(3)端为( )极; (3)该管子为( )型。 3、 若某一逻辑函数有n 个逻辑变量,则输入逻辑变量有( )种不同 取值的组合。 4、 一个逻辑函数全部最小项之和恒等于( )。 5、触发器按动作特点可分为基本型、同步型、( )和边沿型。 6、稳压管工作在( )区,一般要与( )串联使用。 7、已知某与非门的电压传输特性如图所示,由图可知: 输出高电平OH V = ; 输出低电平OL V = ; 阈值电平 TH V = ; 8、下列电路中,不属于组合逻辑 电路的是( )。 (A) 编码器; (B) 数据选择器; (C) 计数器。 9、集成运算放大器采用( )耦合方式,既可以放大( )信号,又可以放大( )信号。 10、七段LED 数码管的结构分为共阴极和( )两种。 11、可用于总线结构进行分时传输的门电路是( )。 (A) 异或门;(B) 同或门;(C) OC 门;(D) 三态门。

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

中南大学模电试卷及答案分解

1 + j A 中 南 大 学 模拟电子技术试卷(第 1 套) 一、一、填空题(20 分,每空 1 分) 1.双极型三极管是 控制器件,当其工作在放大区时发射结需要加 偏置,集电结需要加 偏置。场效应管是 控制器件。 2. 在有源滤波器中,运算放大器工作在 区;在滞回比较器中,运算放大器工 作在 区。 3. 在三极管多级放大电路中,已知 A u1=20,A u2=-10,A u3=1,则可知其接法分别为: A u1 是 放大器,A u2 是 放大器,A u3 是 放大器。 4. 在双端输入、单端输出的差动放大电路中,发射极 R e 公共电阻对 信号 的放大作用无影响,对 信号具有抑制作用。差动放大器的共模抑制比 K CMR = 。 5. 设某一阶有源滤波电路的电压放大倍数为 &= 200 f 200 ,则此滤波器为 滤波器, 其通带放大倍数为 ,截止频率为 。 6. 如图所示的功率放大电路处于 类工作状态;其静态损耗为 ;电路的 最大输出功率为 ;每个晶体管的管耗为最大输出功率的 倍。 二、基本题:(每题 5 分,共 25 分) 1.如图所示电路中 D 为理想元件,已知 u i = 5sin ωt V ,试对应 u i 画出 u o 的波形图。

2.测得电路中NPN型硅管的各级电位如图所示。试分析管子的工作状态(截止、饱和、放大)。 3.已知BJT管子两个电极的电流如图所示。求另一电极的电流,说明管子的类型(NPN 或PNP)并在圆圈中画出管子。 4.如图所示电路中,反馈元件R7构成级间负反馈,其组态为; 其作用是使输入电阻、放大电路的通频带变。 三、如图所示电路中,β=100,r bb'=100Ω,试计算:(15分) 1.放大电路的静态工作点;(6分) 2.画出放大电路的微变等效电路;(3分) 3.求电压放大倍数A u、输入电阻R i和输出电阻R o;(6分)

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

中南大学往届电子技术试卷及答案

. . . ---○---○--- ---○---○--- 学 院 专业班级 学 号 姓 名 ………… 评卷密封线 ……………… 密封线不要答题,密封线外不准填写考生信息,违者考试成绩按0分处理 ……………… 评卷密封线 ………… 中南大学考试试卷(1) 20 ~20 学年 1 学期 电子技术 课程 时间100分钟 80学时, 5 学分,闭卷,总分100分,占总评成绩 60 % 题 号 一 二 三 四 五 六 七 八 合 计 满 分 10 19 16 12 8 15 10 10 100 得 分 评卷人 复查人 一、选择题(本题10分,每小题2分) 1、电路如图所示,所有二极管均为理想元件,则D1、D2、D3的工作状态为( )。 A .D1导通,D2、D3截止; B .D1、D2截止,D3导通; C .D1、D3截止,D2导通; D .D1、D2、D3均截止; 2、存储器的电路结构中包含( )、存储电路和输入/输出电路(或读写控制电路)这三个组成部分。 3、已知Intel2114是1K* 4位的RAM 集成电路芯片,它有地址线( )条,数据线( )条。 4、右图所示波形反映的逻辑函数是( )。 (其中A 、B 为输入波形,Y 为输出波形) A .与非; B .异或; C .同或; D .或; 5、对功率放大电路的基本要在不失真的情况下能有( )。 A .尽可能高的电压放大倍数 B.尽可能大的输出功率 C. 尽可能小的零点漂移 6、位D/A 转换器当输入数字量10000000为5v 。若只有最低位为高电平,则输出电压为( )v ;当输入为10001000,则输出电压为( )v 。 7、已知被转换的信号的上限截止频率为10kHz ,则A/D 转换器的采样频率应高于( )kHz ;完成一次转换所用的时间应小于( )。 得 分 评卷人

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度T ,振荡频率f 和占空比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 …… …… … … …… …密 … … …… … … … … 封 …… … … … … … … … … 装 … … … … … … … 订 … … … … … … … … … 线 … … … … … … … … … 学院 专业 (班级) 姓名 学号 …… … … … … 线 …

6.触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。 图 D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 A B C F

数字电子技术基础—试题—填空

一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( 11110.01 ) 2 = ( 1E.4 ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = (1)。 3 . 三态门输出的三种状态分别为:高电平、低电平和高阻态。 4 . 主从型JK 触发器的特性方程 = 。 5 . 用4个触发器可以存储4位二进制数。 6 . 存储容量为4K×8位的RAM 存储器,其地址线为12条、数据线为 8条。 1.八进制数 (34.2 ) 8 的等值二进制数为(11100.01 ) 2 ; 十进制数 98 的 8421BCD 码 为( 10011000 ) 8421BCD 。 2 . TTL 与非门的多余输入端悬空时,相当于输入 高电平。 3 .图15所示电路 中 的最简逻辑表达式为AB 。 图 15 4. 一个 JK 触发器有 两 个稳态,它可存储 一 位二进制数。 5. 若将一个正弦波电压信号转换成同一频率的矩形波,应采用 多谐振荡器 电路。 6. 常用逻辑门电路的真值表如表1所示,则 F 1 、 F 2 、 F 3 分别属于何种常用逻辑门。 A B F 1 F 2 F 3 0 0 1 1 0 0 1 0 1 1 1 0 0 1 1 1 1 1 0 1 表 1 F 1 ;F 2 ;F 3 分别为:同或 , 与非门 , 或门 1.(11011)2 =(__27__)10 2.8421BCD 码的1000相当于十进制的数值 8 。 3.格雷码特点是任意两个相邻的代码中有__一__位二进制数位不同。 4.逻辑函数的反演规则指出,对于任意一个函数F ,如果将式中所有的__与或运算__互换,_原变量___互换,__反变量__互换,就得到F 的反函数 F 。 5.二极管的单向导电性是外加正向电压时 导通 ,外加反向电压时 截止 。 6.晶体三极管作开关应用时一般工作在输出特性曲线的 饱和 区和 截止 区。 7.TTL 三态门的输出有三种状态:高电平、低电平和 高阻 状态。 8. 集 电极开路门的英文缩写为 OC 门,工作时必须外加 上拉电阻 和 电源 。 9.一个2线-4线译码器,其输入端的数目与输出端数目相比较,后者较 多 。 10. 输出n 位代码的二进制编码器,一般有 __2n ____个输入信号端。 11.全加器是指能实现两个加数和___(低位)进位信号____三数相加的算术运算逻辑电路。 12.时序逻辑电路的输出不仅与 当前输入状态 有关,而且与 输出的原始状态 有关。 13.与非门构成的基本RS 锁存器的特征方程是 S+ n Q R ,约束条件是 RS=0 。

中南大学模拟电子技术试卷(全四套)

---○---○--- ---○---○--- 学 院 专业班级 学 号 姓 名 ………… 评卷密封线 ……………… 密封线内不要答题,密封线外不准填写考生信息,违者考试成绩按0分处理 ……………… 评卷密封线 ………… 中南大学考试试卷(1) 20 ~20 学年 1 学期 电子技术 课程 时间110分钟 80学时, 5 学分,闭卷,总分100分,占总评成绩 70 % 20 年 月 日 题 号 一 二 三 四 五 六 七 八 合 计 满 分 10 19 16 12 8 15 10 10 100 得 分 评卷人 复查人 一、选择题(本题10分,每小题2分) 1.三极管工作在饱和状态时,发射结和集电结处于( A )。 A .两者均正偏; B .前者正偏,后者反偏; C .两者均反偏; D .前者反偏,后者正偏; 2.电路如图所示,所有二极管均为理想元件,则D1、D2、D3的工作状态为( A )。 A .D1导通,D2、D3截止; B .D1、D2截止,D3导通; C .D1、D3截止,D2导通; D .D1、D2、D3均截止; 3.欲使放大电路的输入电阻增加,带负载能力强,应引入( B )。 A .电流串联负反馈; B .电压串联负反馈; C .电流并联负反馈; D .电压并联负反馈; 4.能使逻辑函数F=A ⊕B ⊕C ⊕D 均为1的输入变量组合是( A )。 A .1101,0001,0100,1000 B .1100,1110,1010,1011 C .1110,0110,0111,1111 D .1111,1001,1010,0000 4.右图所示波形反映的逻辑函数是( AB )。 (其中A 、B 为输入波形,Y 为输出波形) A .与非; B .异或; C .同或; D .或; 5.对功率放大电路的基本要求是在不失真的情况下能有( B )。 A .尽可能高的电压放大倍数 B.尽可能大的输出功率 C. 尽可能小的零点漂移 得 分 评卷人

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 B 卷 考试形式 闭卷 考核类型 考试 本试卷共 3 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每题2分,共10分) 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题:(每小题10分,共70分) 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+

3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R1=1KΩ,R2=8.2KΩ,C=0.1μF。试求脉冲宽度T,振荡频率f和占空比q。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态

时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 D= Q n+1= Q 1= 7. 已知电路如图4所示,试写出:

①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4

相关文档
相关文档 最新文档