文档库 最新最全的文档下载
当前位置:文档库 › 数字逻辑电路实验指导书(2016)

数字逻辑电路实验指导书(2016)

数字逻辑电路实验指导书(2016)
数字逻辑电路实验指导书(2016)

Xuzhou Institute of Technology 数字逻辑电路实验指导书

使用班级:15级计算机专业

2016年9月

目录

学生实验守则 (3)

电工电子实验室安全制度 (4)

实验报告要求 (5)

实验一THD-1数字电路箱的使用 (6)

实验二TTL集成门电路 (8)

实验三组合逻辑电路设计 (11)

实验四综合实验(组合电路) .................................................................. 错误!未定义书签。实验五译码器、显示器 ............................................................................... 错误!未定义书签。实验六触发器. (13)

实验七计数器及其应用 (18)

实验八555定时器 (21)

实验九移位寄存器........................................................................................ 错误!未定义书签。实验十综合实验(时序电路) .................................................................. 错误!未定义书签。附录1 V-252型双踪示波器......................................................................... 错误!未定义书签。附录2 EE1641B型函数信号发生器.......................................................... 错误!未定义书签。附录3 SX2172型交流毫伏表 ..................................................................... 错误!未定义书签。附录4 VC9801+型数字万用表 .. (22)

附录5 EWB电子仿真软件 (24)

学生实验守则

一、参加实验时应衣冠整洁。进入实验室后应保持安静,不要大声喧哗和打闹,妨碍他人学习和实验。不准吸烟,不准随地吐痰,不准乱扔纸屑与杂物。

二、进行实验时必须严格遵守实验室的规章制度和仪器操作规程。爱护仪器设备,节约实验器材,未经许可不得乱动实验室的仪器设备。

三、注意人身安全和设备安全。若仪器出现故障,要立即切断电源并立即向指导教师报告,以防故障扩大。待查明原因、排除故障之后才可继续进行实验。

四、要以严格、认真的科学态度进行实验,结合所学理论,独立思考,分析研究实验现象和数据。

五、实验完毕后必须收拾整理好自己使用的仪器设备,保持实验台整洁,填写实验仪器使用记录。在归还实验仪器后,才能离开。

六、违反实验室规章制度和仪器设备操作规程造成事故、导致仪器设备损坏者,将视情节轻重按实验室设备管理制度处理及赔偿。

电工电子实验室安全制度

一、每个实验室要有专人担任安全员,负责本室的各项安全工作。并定期进行安全检查,发现问题及时向领导和有关部门汇报。

二、实验室总电源应有专人负责,各分室电源应有指示灯指示。

三、实验室内不准吸烟。要经常检查室内电源设备状况。各种用电设备使用完毕后要断开电源。

四、实验室钥匙不能出借他人,实验室所有仪器设备的配置、维修、拆卸等都必须做好记录并严格遵守操作规程,非经有关人员许可不得擅自动用。

五、每个实验室要配备必要的消防器材(灭火器、灭火栓),消防器材必须定期检查更换。任何人不得随意搬动、拆卸消防器材。

六、工作人员离开时必须断开室内电源、水源,关好门窗。

匪警电话 110

火警电话 119

校保卫处电话 83209110

实验报告要求

实验前写好预习报告,预习报告要求见各实验章节,实验报告必须用规定的实验报告纸书写。实验报告需附由教师签字的原始数据纸方为有效。实验内容应有下列各项内容:

一、实验目的

二、仪器与设备

三、原理简述(含实验原理图)

四、内容与步骤(含测量数据)

五、总结

实验一 数字电路箱的使用(1505P000101)

一、实验目的

1.学习数字电路实验箱的使用。

2.掌握万用表的基本工作原理及使用方法。 3. 学会使用EWB 仿真软件

二、实验仪器

数字电路实验箱 数字万用表 计算机

三、实验内容

1.实验箱结构

观察实验箱结构,熟悉各部分区域的功能,为今后使用实验箱完成数字电路实验做准备。实验箱各部分区域名称如图1-1所示。

2.测试逻辑开关和发光二极管显示功能

+5V 电源,拨动逻辑开关观察

结果,逻辑开关遵循正逻辑,即灯亮表示输出逻辑为1,灯灭表示输出逻辑为0;

用一连线一端插入发光二极管显示输入插孔,另一端插入逻辑开关的输出插孔,拨动逻辑开关,输出高电平时发光二极管亮,输出低电平时发光二极管灭。

3.试单脉冲和连续脉冲输出端功能

在信号区

处接上电源。单脉冲有两种输出方式,按动单脉冲按键,每按动一

下,单脉冲输出处提供由高电平到低电平(或由低电平到高电平)的一个过程;

连续脉冲信号输出处,有三档频率粗调供频率范围选择,将输出频率调至1Hz,发光二极管每秒闪亮一次,调至高频时,并调节微调旋钮,看到发光二极管恒亮。(高频时人的肉眼反应不出频率的变化)。

4.测试数码显示功能

将数码管的ABCD端与四个逻辑开关X0、X1、X2、X3相连接,拨动开关为0000、0001、0010、0011、0100......可观察8421码显示,即可顺序显示0、1 、2、3、4......。

将拨码开关任一组ABCD与数码管的任一ABCD相连接,按动拨码开关,观察显示结果。

5. EWB仿真软件介绍及使用(见附录)

实验二TTL集成门电路(1505P000102)

一、实验目的

1.掌握TTL集成与非门的逻辑功能和性能特点;

2.能正确使用各种集成门电路;

3.进一步熟悉实验箱结构、基本功能和使用方法。

二、实验仪器

THD-1数字电路实验箱

万用表

集成片74LS20、74LS86

三、实验原理

门电路是组成数字电路的最基本的单元,包括与非门、与门、或门、或非门、与或非门、异或门、集成电极开路与非门和三态门等。最常用的集成门电路有TTL和CMOS两大类。TTL为晶体管—晶体管逻辑的简称,广泛的应用于中小规模电路,功耗较大。

图1 74LS20管脚图

本实验所用的74LS20是四输入双与非门。即在一块芯片内含有两个相互独立的与非门,每个与非门含有四个输入端。其逻辑表达式为Y=ABCD,逻辑符号及引脚排列如图1。

正逻辑的前提下,输入端只要一个为低电平,输出就为高电平。描述与非门的输入、

输出关系可以用电压传输特性表示,见图 2从电压传输特性曲线上可以读出输出高电平U OH ,输出低电平U OL ,开门电平U ON ,关门电平U OFF 等参数。实际的门电路U OH 和U OL 并不是恒定值,由于产品的分散性,每个门之间都有差异 。在TTL 电路中,常常规定高电平的标准值为3V ,低电平的标准值为0.2V 。 从0V 到0.8V 都算作低电平,从2V 到5V 都算作高电平,超出了这一范围是不允许的,因为这不仅会破坏电路的逻辑关系,而且还可能造成器件性能下降甚至损坏。

图中:U OH :指一个(或几个)输入端是低电平时输出的电平;

U OL :指输入指端全为高电平时输出的电平;

U ON :在额定负载下得到规定的低电平,输入端应加的最小输入电平;

U OFF :通常规定保证输出电压为标准高电平的条件下所允许的最大输入电平。

四、实验步骤

1.TTL 与非门的逻辑功能

在数字箱14芯IC 插座上,将芯片的小缺口与IC 插座的缺口对准插上74LS20四输入双与非门集成元件。按照图1接线,14脚接+5V 电源,7脚接地,接线后检查无误,通电,按照表1改变A 、B 、C 、D 状态,观察记录输出状态;从实验结果中写出逻辑表达式Y 。 表1

2.与非门电压传输特性测试

R

用74LS20元件中的任一四输入与非门按照3连接线路.接线检查无误后,通电,准备测试。调节电位器,使输入电压V I 从零逐渐增大(用万用表测量电压的大小),按照表 2求,同时测量对应的输出V O 的数值,将其填入表2中。

表2

根据表 2的结果,在坐标纸上画出电压传输特性(uo=f (ui )的关系),并求出开门电平U ON ,关门电平U OFF 值。

3.异或门的逻辑功能测试

74LS86为二输入四异或门元件,即芯片内含有四个异或门。如图4,先将V CC 接

+5V 电源GND 接地;任选一异或门(如1,2脚接逻辑开关的输出电平,3脚接发光二极管) 测试异或门的逻辑特性并记入表3中。

表3

五、总结

1.在数字电路箱上使用集成芯片时应注意哪些问题? 2.TTL 系列芯片与CMOS 芯片的区别。

图4 74LS86管脚图

实验三组合逻辑电路设计(1505P000104)

一、实验目的

1.掌握组合电路的一般设计方法;

2.掌握半加器、全加器逻辑功能,并用元件实现之,

3.根据给定的实际逻辑要求,设计出最简单的逻辑电路图。

二、实验仪器

THD-1数字电路箱

集成片74LS20,74LS00,74LS86

三、实验原理

数字系统中常用的各种数字部件,就其结构和工作原理而言可分为两大类,即组合逻辑电路和时序逻辑电路。组合逻辑电路输出状态只决定于同一时刻的各输入状态的组合,与先前状态无关,它的基本单元一般是逻辑门。时序逻辑电路输出状态不仅与输入变量的状态有关,而且还与系统原先的状态有关,它的基本单元一般是触发器。

组合逻辑电路的设计步骤一般为:

(1)根据逻辑要求列出真值表;

(2)从真值表中写出逻辑表达式;

(3)化简逻辑表达式,并选用适当的器件;

(4)根据选用的器件,画出逻辑图。

逻辑化简是组合逻辑设计的关键步骤之一。为了使电路结构简单和使用器件较少,往往要求逻辑表达式尽可能化简。由于实际使用时要考虑电路的工作速度和稳定可靠等因素,在较复杂的电路中,还要求逻辑清晰易懂,所以最简设计不一定是最佳的。但一般来说,在保证速度、稳定可靠与逻辑清楚的前提下,尽量使用最少的器件,以降低成本。

组合逻辑设计过程常是在理想的情况下进行的。实际工作中,可能会出现瞬间错误。

四、实验内容

1.设计一个路灯控制电路并用EWB软件仿真实现要求:

2.全减器的设计

要求:根据实验室提供的器件,按照组合逻辑电路的设计步骤自行设计,并实现。

74LS00管脚图

实验四触发器(1505P000105)

一、实验目的

1.掌握基本RS、D、JK触发器的逻辑功能;

2.掌握集成触发器的使用方法和逻辑功能的测试方法;

3. 掌握触发器的设计方法

二、实验仪器

THD-1数字电路箱

集成片74LS00,74LS74,74LS112

三、实验原理

触发器具有两个稳定状态,用以表示逻辑状态"1"和"0",在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存贮器件,是构成各种时序电路的最基本逻辑单元。

1.基本RS触发器

图1为由两个与非门交叉耦合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。基本RS触发器具有置"0"、置"1"和"保持"三种功能。通常称S为置"1"端,因为S=0时触发器被置"1";R为置"0"端,因为R=0时触发器被置"0",当S=R=1时状态保持。

基本RS触发器也可以用两个“或非门”组成,此时为高电平触发有效。

图1基本RS触发器

2.D触发器

在输入信号为单端的情况下,D触发器用起来最为方便,其状态方程为

Q n+1=D n

其输出状态的更新发生在CP脉冲的上升沿,故又称为上升沿触发的边沿触发器,触发器的状态只取决于时钟到来前D端的状态,D触发器的应用很广,可用作数字信号的寄存,移位寄存,分频和波形发生等。有很多种型号可供各种用途的需要而选用。如双D(74LS74,CC4013),四D (74LS175 ,CC4042),六D (74LS174 CC14174),八D (74LS374) 等。图2

图2 74LS74管脚图

3.JK触发器

在输入信号为双端的情况下,JK触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验

采用74LS112双JK 触发器, 是下降边沿触发的边沿触发器。引脚功能及逻辑符号如图3所示。

JK 触发器的状态方程为:

Q =JQ n +KQ n J 和K 是数据输入端,是触发器状态更新的依据,若J 、K 有两个或两个以上输入端时,组成“与”的关系。Q 与Q 为两个互补输出端。通常把 Q =0、Q =1的状态定为触发器"0"状态;而把 Q =1,Q =0定为"1"状态。 JK 触发器常被用作缓冲存储器,移位寄存器和计数器。

四、实验内容

1.基本RS 触发器

按图1,用两个与非门(选用74LS00)组成基本RS 触发器,输入端R 、S 接实验箱的逻辑开关 ,输出端 Q 、

1的要求测试,记录之。(74LS00的芯片引脚图见前面的实验中)

2.D 触发器

用门电路设计D 触发器,画出逻辑图并进行下列验证,记录结果: (1).测试Rd 、Sd 的复位、置位功能。先将芯片的电源端和地端连接好,对应的Rd 和Sd 接逻辑开关,Q 和 接发光二极管,按照表2要求改变 (“×”为任意状态)Q 置“0”或“1”为00或11状态,以准备接受CP 脉冲触发翻转。

(2).测试D 触发器的逻辑功能 根据上面设计的D 触发器。D 、 (Signle Pulse ),Q

端接发光二极管。 按表5-3的要求进行测试,测试时,用 端置位(即:使Q n =1或0),然后复位(将 置“1、1”状态)。 按CP 脉冲触发,并观察触发器状态更新是否发生在CP 脉冲的上升沿(即由0→1),记录之。

表3

3、JK 触发器设计及其测试

用74LS00、74LS04、74LS20等芯片设计JK 触发器,画出逻辑图,并进行下列测试,记录结果。

(1).测试

Rd 、Sd 的复

位、置位功能 Rd 、Sd 、J 、K 端接逻辑开关,CP 端接单次脉冲源,Q 、Q 端接发光二极管。

按照表4要求, 测试并记录Rd 、Sd 对输出状态的控制作用。

表4

(2).测试JK 触发器的逻辑功能

按表5的要求改变J 、K 状态,并用Rd 、Sd 端对触发器进行异步置位和复位。然后输

Q

入单脉冲的下降沿和上升沿,观察并记录状态变化,观察触发器状态更新是否发生在CP脉冲的下降沿(即CP由1→0)。

表5

五、总结

1.列表整理各类触发器的逻辑功能,并说明触发方式。

2.列举实际中触发器的应用。

3.利用普通的机械开关组成的数据开关所产生的信号是否可作为触发器的时钟脉冲信号?为什么?是否可以用作触发器的其它输入端的信号,为什么?

实验五计数器及其应用(1505P000106)

一、实验目的

1.学习用集成触发器构成计数器的方法,了解计数器的工作原理;

2.掌握中规模集成计数器(74LS390)的使用方法及功能测试方法。

3. 用74LS390设计一般的计数器。

二、实验仪器

THD-1数字电路箱

集成片74LS112,74LS390

三、实验原理

计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。

计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等等。目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数电路。使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。

1.用J、K触发器构成异步二进制加/减计数器

图中的加法计数器是用四只触发器构成的四位二进制异步加法计数器,它的连接特点是将低位J、K触发器CP端接CP脉冲,再由低位触发器的Q端和高一位的CP端连接。

若将图稍加改动,即将低位触发器的Q 端与高一位的CP 端相连接,即构成了一个4位二进制减法计数器,

2.中规模十进制计数器

74LS390是 集成双十进制可逆计数器,具有双时钟输入, 并具有清除等功能,其引脚排列及逻辑符号如图1所示。

四、实验内容

1.用74LS 112触发器构成4位二进制异步加法计数器。

(1).按图2连接,触发器的J 、K 、Sd

端接高电平(防止外界干扰),清零端R

D 接逻辑开关,

CP 端接单次脉冲。

(2). R D 清零、复位后,逐个送入单次脉冲,观察并列表记录 Q 3~Q 0状态。 (3).将单次脉冲改为1H Z 的连续脉冲,观察Q 3~Q 0的状态。

(4).将1Hz 的连续脉冲改为1KH Z ,用双踪示波器观察CP 、Q 3、Q 2、Q 1、Q 0端波形,描绘之。

2..将图3电路中的低位触发器的Q 端与高一位的CP 端相连接,构成减法计数器,按实验内容(2),(3),(4)进行实验,观察并列表记录Q 3~Q 0的状态。

图1 74LS390管脚图

Q 3

3.测试74LS 390十进制计数功能。 (1).计数脉冲由1H Z 连续脉冲源提供,清零端接逻辑开关,输出端A 、B 、C 、D 接实验箱的译码芯片相应插口A 、B 、C 、D (分别选择个位和十位)。

(2).清零后复位,观察输出结果,列表记录之

4. 用74LS390设计60

进制计数器,画出逻辑图,并连线测试。

五、总结

对实验结果进行分析,总结各种进制的线路图连线规律。

图4 两位十进制计数译码显示电路图

数字逻辑实验指导书(multisim)(精)

实验一集成电路的逻辑功能测试 一、实验目的 1、掌握Multisim软件的使用方法。 2、掌握集成逻辑门的逻辑功能。 3、掌握集成与非门的测试方法。 二、实验原理 TTL集成电路的输入端和输出端均为三极管结构,所以称作三极管、三极管逻辑电路(Transistor -Transistor Logic 简称TTL电路。54 系列的TTL电路和74 系列的TTL电路具有完全相同的电路结构和电气性能参数。所不同的是54 系列比74 系列的工作温度范围更宽,电源允许的范围也更大。74 系列的工作环境温度规定为0—700C,电源电压工作范围为5V±5%V,而54 系列工作环境温度规定为-55— ±1250C,电源电压工作范围为5V±10%V。 54H 与74H,54S 与74S 以及54LS 与74LS 系列的区别也仅在于工作环境温度与电源电压工作范围不同,就像54 系列和74 系列的区别那样。在不同系列的TTL 器件中,只要器件型号的后几位数码一样,则它们的逻辑功能、外形尺寸、引脚排列就完全相同。 TTL 集成电路由于工作速度高、输出幅度较大、种类多、不易损坏而使用较广,特别对我们进行实验论证,选用TTL 电路比较合适。因此,本实训教材大多采用74LS(或74系列TTL 集成电路,它的电源电压工作范围为5V±5%V,逻辑高电平为“1”时≥2.4V,低电平为“0”时≤0.4V。 它们的逻辑表达式分别为:

图1.1 分别是本次实验所用基本逻辑门电路的逻辑符号图。 图1.1 TTL 基本逻辑门电路 与门的逻辑功能为“有0 则0,全1 则1”;或门的逻辑功能为“有1则1,全0 则0”;非门的逻辑功能为输出与输入相反;与非门的逻辑功能为“有0 则1,全1 则0”;或非门的逻辑功能为“有1 则0,全0 则1”;异或门的逻辑功能为“不同则1,相同则0”。 三、实验设备

数字电路与逻辑设计实验指导书

数字电路与逻辑设计实验指导书 主审:智 主编:荣军原伟 大学南方学院电子通信与软件工程系

序言 《数字电路与逻辑设计实验》是《数字电路与逻辑设计》的课程对口的实验课,是《数字电路与逻辑设计》课程的实验环节。通过本课程的学习,使学生进一步理解数字电子线路的工作原理、学会使用常用电子仪器、掌握基本的电子测量方法、调整电路的基本实验技能,提高理论联系实际、知识综合应用能力。 具体要求: 1、能正确、规地使用常用电子仪器; 2、具有查阅常用电子器件手册的能力; 3、根据技术要求能选用合适的元器件、组成实验电路,能进行组装及调试; 4、具有分析、寻找和排除常见故障的能力; 5、具有自行拟定实验步骤,分析和综合实验结果以及撰写实验报告的能力。 《数字电路与逻辑设计实验指导书》是在2009 年院印刷讲义的基础上进行修订,由电子通信与软件工程系荣军讲师完成全面修订工作、智教授审定修改,《数字电路与逻辑设计实验指导书》适于本院电子信息科学与技术专业、通信工程专业、计算机科学与技术专业的学生使用,也可供相关专业的学生参考。 电子通信与软件工程系

目录 《数字电路与逻辑设计实验》教学大纲 (4) 实验2 门电路逻辑功能及测试 (7) 实验3组合逻辑电路的设计 (11) 实验4 组合逻辑电路(半加器全加器及逻辑运算) (13) 实验5 译码器和数据选择器 (16) 实验6 竞争冒险 (19) 实验7 触发器工作原理与功能测试 (21) 实验8 集成计数器及寄存器的应用 (24) 实验9 时序电路测试及研究 (26) 实验10 555时基电路 (28) 附图:实验常用芯片引脚图 (33)

数字逻辑电路实验报告

数字逻辑电路 实验报告 指导老师: 班级: 学号: 姓名: 时间: 第一次试验一、实验名称:组合逻辑电路设计

二、试验目的: 1、掌握组合逻辑电路的功能测试。 2、验证半加器和全加器的逻辑功能。 3、、学会二进制数的运算规律。 三、试验所用的器件和组件: 二输入四“与非”门组件3片,型号74LS00 四输入二“与非”门组件1片,型号74LS20 二输入四“异或”门组件1片,型号74LS86 四、实验设计方案及逻辑图: 1、设计一位全加/全减法器,如图所示: 电路做加法还是做减法是由M决定的,当M=0时做加法运算,当M=1时做减法运算。当作为全加法器时输入信号A、B和Cin分别为加数、被加数和低位来的进位,S 为和数,Co为向上的进位;当作为全减法时输入信号A、B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上位的借位。 (1)输入/输出观察表如下: (2)求逻辑函数的最简表达式 函数S的卡诺图如下:函数Co的卡诺如下: 化简后函数S的最简表达式为: Co的最简表达式为:

(3)逻辑电路图如下所示: 2、舍入与检测电路的设计: 用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于5是,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如图所示: (1)输入/输出观察表如下: B8 B4 B2 B1 F2 F1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 0 0 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 1 1 1

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

实验1指导书组合逻辑电路的设计

实验1 组合逻辑电路的设计 预习内容 阅读《电工电子实验教程》第6.3节中组合逻辑电路的内容。 预习实验的内容,自拟实验步骤和数据表格,选择所用元件名称、数量,熟悉元件引脚,画出集成电路引脚图及真值表,完成理论设计,画出原理电路,手写预习报告。 一、实验目的 1.掌握组合逻辑电路设计的一般概念和方法。 2.掌握集成组合逻辑电路的使用和设计方法。 3.学习EDA软件Quartus II的基本使用方法。 二、知识要点 使用中规模的集成电路设计组合逻辑电路的一般方法为: 第一步:从题目中完成逻辑抽象。把实际问题转换为可行的逻辑设计要求。 第二步:根据逻辑设计的要求建立输入、输出变量,并列出真值表。 第三步:用逻辑代数或卡诺图化简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。不一定要最简形式,应以所要使用的中规模集成芯片的逻辑功能为依据,把要产生的逻辑函数变换为与器件的逻辑函数式类似的形式。对于变换后的逻辑函数式与所选器件的逻辑函数式差别非常大的应考虑更换元器件类型。 第四步:根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。 第五步:用实验来验证设计的正确性。 设计组合逻辑电路的一般步骤如图1-1所示。 图1-1 组合逻辑电路设计流程图 三、实验内容 题目A:4人表决电路 设计一个4人表决电路,多数通过(当四个输入端中有三个或四个为“1”时,输出端才能为“1”),用发光二极管显示表决结果,通过点亮,否决不亮。(要求选用与非门电路实现,74LS10和/或74LS20) 题目B:大月指示器电路 设计一个大月指示器,四个二进制输入变量表示月份,发光二极管表示输出,若该月份天数为31,则发光二极管亮,其它情况发光二极管不亮(注意任意项的处理,要求使用74LS00和74LS151)。

数字电路组合逻辑电路设计实验报告

数字电路组合逻辑电路设 计实验报告 The Standardization Office was revised on the afternoon of December 13, 2020

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测

出门电路的输出响应。动态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。

[整理]15数字逻辑实验指导书1

------------- 数字逻辑与数字系统实验指导书 青岛大学信息工程学院实验中心巨春民 2015年3月

------------- 实验报告要求 本课程实验报告要求用电子版。每位同学用自己的学号+班级+姓名建一个文件夹(如2014xxxxxxx计算机X班张三),再在其中以“实验x”作为子文件夹,子文件夹中包括WORD 文档实验报告(名称为“实验x实验报告”,格式为实验名称、实验目的、实验内容,实验内容中的电路图用Multisim中电路图复制粘贴)和实验中完成的各Multisim文件、VerilogHDL源文件、电路图和波形图(以其实验内容命名)。

实验一电子电路仿真方法与门电路实验 一、实验目的 1.熟悉电路仿真软件Multisim的安装与使用方法。 2.验证常用集成逻辑门电路的逻辑功能。 3.掌握各种门电路的逻辑符号。 4.了解集成电路的外引线排列及其使用方法。 5. 学会用Multisim设计子电路。 二、实验内容 1.用逻辑门电路库中的集成逻辑门电路分别验证二输入与门、或非门、异或门和反相器的逻辑功能,将验证结果填入表1.1中。 注:与门型号7408,或门7432,与非门7400,或非门7402,异或门7486,反相器7404. 2.用 L=ABCDEFGH,写出逻辑表达式,给出逻辑电路图,并验证逻辑功能填入表1.2中。 ()' 三、实验总结 四、心得与体会

实验二门电路基础 一、实验目的 1. 掌握CMOS反相器、与非门、或非门的构成与工作原理。 2. 熟悉CMOS传输门的使用方法。 3. 了解漏极开路的门电路使用方法。 二、实验内容 1. 用一个NMOS和一个PMOS构成一个CMOS反相器,实现Y=A’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-1。 表2-1 CMOS反相器逻辑功能表 2. 用2个NMOS和2个PMOS构成一个CMOS与非门,实现Y=(AB)’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-2。 3. 用2个NMOS和2个PMOS构成一个CMOS或非门,实现Y=(A+B)’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-3。 表2-3 CMOS或非门逻辑功能表 4. 用CMOS传输门和反相器构成异或门,实现Y=A B 。给出电路图,测试其逻辑功能填入表2-4。

数字逻辑个性课实验报告

学生学号0121410870432实验成绩 学生实验报告书 实验课程名称逻辑与计算机设计基础 开课学院计算机科学与技术学院 指导教师姓名肖敏 学生姓名付天纯 学生专业班级物联网1403 2015--2016学年第一学期

译码器的设计与实现 【实验要求】: (1)理解译码器的工作原理,设计并实现n-2n译码器,要求能够正确地根据输入信号译码成输出信号。(2)要求实现2-4译码器、3-8译码器、4-16译码器、8-28译码器、16-216译码器、32-232译码器。 【实验目的】 (1)掌握译码器的工作原理; (2)掌握n-2n译码器的实现。 【实验环境】 ◆Basys3 FPGA开发板,69套。 ◆Vivado2014 集成开发环境。 ◆Verilog编程语言。 【实验步骤】 一·功能描述 输入由五个拨码开关控制,利用led灯输出32种显示 二·真值表

三·电路图和表达式

四·源代码 module decoder_5( input [4:0] a, output [15:0] d0 ); reg [15:0] d0; reg [15:0] d1; always @(a) begin case(a) 5'b00000 :{d1,d0}=32'b1000_0000_0000_0000_0000_0000_0000_0000; 5'b00001 :{d1,d0}=32'b0100_0000_0000_0000_0000_0000_0000_0000; 5'b00010 :{d1,d0}=32'b0010_0000_0000_0000_0000_0000_0000_0000; 5'b00011 :{d1,d0}=32'b0001_0000_0000_0000_0000_0000_0000_0000; 5'b00100 :{d1,d0}=32'b0000_1000_0000_0000_0000_0000_0000_0000; 5'b00101 :{d1,d0}=32'b0000_0100_0000_0000_0000_0000_0000_0000; 5'b00110 :{d1,d0}=32'b0000_0010_0000_0000_0000_0000_0000_0000; 5'b00111 :{d1,d0}=32'b0000_0001_0000_0000_0000_0000_0000_0000; 5'b01000 :{d1,d0}=32'b0000_0000_1000_0000_0000_0000_0000_0000; 5'b01001 :{d1,d0}=32'b0000_0000_0100_0000_0000_0000_0000_0000; 5'b01010 :{d1,d0}=32'b0000_0000_0010_0000_0000_0000_0000_0000; 5'b01011 :{d1,d0}=32'b0000_0000_0001_0000_0000_0000_0000_0000; 5'b01100 :{d1,d0}=32'b0000_0000_0000_1000_0000_0000_0000_0000; 5'b01101 :{d1,d0}=32'b0000_0000_0000_0100_0000_0000_0000_0000; 5'b01110 :{d1,d0}=32'b0000_0000_0000_0010_0000_0000_0000_0000; 5'b01111 :{d1,d0}=32'b0000_0000_0000_0001_0000_0000_0000_0000; 5'b10000 :{d1,d0}=32'b0000_0000_0000_0000_1000_0000_0000_0000; 5'b10001 :{d1,d0}=32'b0000_0000_0000_0000_0100_0000_0000_0000; 5'b10010 :{d1,d0}=32'b0000_0000_0000_0000_0010_0000_0000_0000; 5'b10011 :{d1,d0}=32'b0000_0000_0000_0000_0001_0000_0000_0000; 5'b10100 :{d1,d0}=32'b0000_0000_0000_0000_0000_1000_0000_0000; 5'b10101 :{d1,d0}=32'b0000_0000_0000_0000_0000_0100_0000_0000; 5'b10110 :{d1,d0}=32'b0000_0000_0000_0000_0000_0010_0000_0000; 5'b10111 :{d1,d0}=32'b0000_0000_0000_0000_0000_0001_0000_0000; 5'b11000 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_1000_0000; 5'b11001 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0100_0000; 5'b11010 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0010_0000;

实验二 基本逻辑门功能测试实验指导

实验二基本逻辑门功能测试 一、实验目的 1.进一步熟悉数字电路实验仿真软件multisim的使用方法; 2.掌握TTL与非门、或非门、异或门输入与输出之间的逻辑关系; 3.熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验所用器件和仪表 1.二输入四与非门74LS00 1片 2.二四输入或非门口LS74LS02 1片 3.二输入四异或门74LS86 1片 4.万用表1台 5.逻辑电平指示灯若干个 6.数字电路实验平台或仿真软件multisim 1套 三、实验内容 1.测试四二输入与非门74LS00中一个与非门的输入和输出之间的逻辑关系。 2.测试四二输入异或门74LS02中一个或非门的输入和输出之间的逻辑关系 3.测试四二输入异或门74LS86中一个异或门的输入和输出之间的逻辑关系。 4.学会使用万用表测试逻辑电平的高低,或通过逻辑指示灯指示。 四、实验提示 1.在multisim中找到被测器件并拖置放到设计面板的合适位置; 2.在器件库中找到VCC和GND两个器件,并拖入到置到合适位置; 3.将器件的引脚7与GND“地”连接,将器件的引脚14与VCC(+5V)连接;(集 成器件),如果使用独立元件,此步可省略; 4.在器件库中找到siwcth(双掷开关)作为被测器件的输入。通过双掷开关的两个集输 入高低电平(VCC 和GND,另一端接门电路输入, 5.在器件库中找到PROBE(指示灯),拖取一个作为输出指示,与被测门电路的输出 端相连。 6.拨动开关,则改变器件的输入电平,指示灯亮表示输出电平为1(高电平),指示 灯灭表示输出电平为0(低电平)。 五、实验接线图及实验结果 74LS00中包含4个二与非门,74LS86中包含4个二异或门,下面各画出测试一个逻辑门逻辑关系的接线图及测试结果。测试时各器件的引脚7接地,引脚14接+5V。图中的S1、S2是电平开关,LED0是电平指示灯 1.测试74LS00逻辑关系接线图及测试结果

逻辑门电路实验报告(精)

HUBEI NORMAL UNIVERSITY 电工电子实验报告 电路设计与仿真—Multisim 课程名称 逻辑门电路 实验名称 2009112030406 陈子明 学号姓名 电子信息工程 专业名称 物理与电子科学学院 所在院系 分数

实验逻辑门电路 一、实验目的 1、学习分析基本的逻辑门电路的工作原理; 2、学习各种常用时序电路的功能; 3、了解一些常用的集成芯片; 4、学会用仿真来验证各种数字电路的功能和设计自己的电路。 二、实验环境 Multisim 8 三、实验内容 1、与门电路 按图连接好电路,将开关分别掷向高低电平,组合出(0,0)(1,0)(0,1)(1,1)状态,通过电压表的示数,看到与门的输出状况,验证表中与门的功能: 结果:(0,0)

(0,1) (1,0) (1,1) 2、半加器 (1)输入/输出的真值表

输入输出 A B S(本位和(进位 数)0000 0110 1010 1101 半加器测试电路: 逻辑表达式:S= B+A=A B;=AB。 3、全加器 (1)输入输出的真值表 输入输出

A B (低位进 位S(本位 和) (进位 数) 0 0 0 0 0 00110 01010 01101 10010 10101 11001 11111(2)逻辑表达式:S=i-1;C i=AB+C i-1(A B) (3)全加器测试电路:

4、比较器 (1)真值表 A B Y1(A>B Y2(A Y3(A=B 0 0 0 0 1 0 1 0 1 0 1 0 1 0 0 1 1 0 0 1 (2)逻辑表达式: Y1=A;Y2=B;Y3=A B。 (3)搭接电路图,如图: 1位二进制数比较器测试电路与结果:

数字逻辑实验指导书(1)

实验一 实验箱及小规模集成电路的使用 一 实验目的 1 掌握实验箱的功能及使用方法 2 学会测试芯片的逻辑功能 二 实验仪器及芯片 1 实验箱 2 芯片:74LS00 二输入端四与非门 1片 74LS86 二输入端四异或门 1片 74LS04 六非门 1片 三 实验内容 1 测试芯片74LS00和74LS86的逻辑功能并完成下列表格。 (1) 74LS00的14脚接+5V 电源,7脚接地;1、2、4、5、9、10、12、13脚接逻辑开关,3、6、8、11接发光二极管。(可以将1、4、9、12接到一个逻辑开关上,2、5、10、13接到一个逻辑开关上。)改变输入的状态,观察发光二极管。74LS86的接法74LS00基本一样。 表 74LS00的功能测试 表 74LS86的功能测试 (2)分析74LS00和74LS86的四个门是否都是完好的。 2 用74LS00和74LS04组成异或门,要求画出逻辑图,列出异或关系的真值表。 (3)利用74LS00和74LS04设计一个异或门。画出设计电路图。

实验二译码器和数据选择器 一实验目的 1继续熟悉实验箱的功能及使用方法 2掌握译码器和数据选择器的逻辑功能 二实验仪器及芯片 1 实验箱 2 芯片:74LS138 3线-8线译码器 1片 74LS151 八选一数据选择器 1片 74LS20 四输入与非门 1片 三实验内容 1 译码器功能测试(74LS138) 芯片管脚图如图所示,按照表连接电路,并完成表格。其中16脚接+5V,8脚接地,1~6脚都接逻辑开关,7、9、10、11、12、13、14、15接发光二极管。 表 2 数据选择器的测试(74LS151) 按照表连接电路,并完成表格。其中16脚接+5V,8脚接地;9、10、11,为地址输入端,接逻辑开关;4、3、2、1、12、13、14、15为8个数据输入端,接逻辑开关;G为选通输入端,Y为输出端,接发光二极管。

数字电子技术基础实验指导书

『数字电子技术基础实验指导书』 实验一实验设备认识及门电路 一、目的: 1、掌握门电路逻辑功能测试方法; 2、熟悉示波器及数字电路学习机的使用方法; 3、了解TTL器件和CMOS器件的使用特点。 二、实验原理 门电路的静态特性。 三、实验设备与器件 设备 1、电路学习机一台 2、万用表两快 器件 1、74LS00 一片(四2输入与非门) 2、74LS04 一片(六反向器) 3、CD4001 一片(四2输入或非门) 四、实验内容和步骤 1、测试74LS04的电压传输特性。按图1—1连好线路。调节电位器,使V I 在0~+3V间变化, 记录相应的输入电压V 1和输入电压V 的值。至少记录五组数据,画出电压传输特性。 2、测试四二输入与非门74LS00的输入负载特性。测试电路如图1—2所示。请用万用表测 试,将V I 和V O 随R I 变化的值填入表1—1中,画出曲线。 表1-1 3、测试与非门的逻辑功能。 测量74LS00二输入与非门的真值表:将测量结果填入表1—2中。

表1—2 4、测量CD4001二输入或非门的真值表,将测量结果填入表1-2中。 注意CMOS 电路的使用特点:应先加入电源电压,再接入输入信号;断电时则相反,应先测输入信号,再断电源电压。另外,CMOS 电路的多余输入端不得悬空。 五、预习要求 1、阅读实验指导书,了解学习机的结构; 2、了解所有器件(74LS00,74LS04,CD4001)的引脚结构; 3、TTL 电路和CMOS 电路的使用注意事项。 图1-1 图1-2 300V O

一、实验目的 1、学习并掌握小规模芯片(SSI)实现各种组合逻辑电路的方法; 2、学习用仪器检测故障,排除故障。 二、实验原理 用门电路设计组合逻辑电路的方法。 三、实验内容及要求 1、用TTL与非门和反向器实现“用三个开关控制一个灯的电路。”要求改变任一开关状态都能控制灯由亮到灭或由灭到亮。试用双四输入与非门74LS20和六反向器74LS04和开关实现。测试其功能。 2、用CMOS与非门实现“判断输入者与受血者的血型符合规定的电路”,测试其功能。 要求如下: 人类由四种基本血型— A、B、AB、O型。输血者与受血者的血型必须符合下述原则;O 型血可以输给任意血型的人,但O型血的人只能接受O型血;AB型血只能输给AB型血的人,但AB血型的人能够接受所有血型的血;A型血能给A型与AB型血的人;而A型血的人能够接受A型与O型血;B型血能给B型与AB型血的人,而B型血的人能够接受B型与O型血。试设计一个检验输血者与受血者血型是否符合上述规定的逻辑电路,如果输血者的血型符合规定电路,输出高电平(提示:电路只需要四个输入端,它们组成一组二进制数码,每组数码代表一对输血与受血的血型对)。 约定“00”代表“O”型 “01”代表“A”型 “10”代表“B”型 “11”代表“AB”型 3、TTL与非门和反向器实现一组逻辑电路,其功能自行选定。 四、实验设备及器件 1、数字电路学习机一台 2、74LS20 三片(双四输入与非门) 3、74LS04 一片(六反向器) 4、CD4011 两片(四二输入与非门) 五、预习要求 1、自行设计电路,画出接线图(用指定器件设计)。 2、制定测试逻辑功能方案,画出必要的表格。

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案? 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 2)由表2-1全加器真值表写出函数表达式。

3)将上面两逻辑表达式转换为能用四2输入异或门(74LS86)和四2输入与非门(74LS00)实现的表达式。 4)画出逻辑电路图如图2-1,并在图中标明芯片引脚号。按图选择需要的集成块及门电路连线,将A i、B i、C i接逻辑开关,输出Si、Ci+1接发光二极管。改变输入信 号的状态验证真值表。 2.在一个射击游戏中,每人可打三枪,一枪打鸟(A),一枪打鸡(B),一枪打兔子(C)。 规则是:打中两枪并且其中有一枪必须是打中鸟者得奖(Z)。试用与非门设计判断得奖的电路。(请按照设计步骤独立完成之) 五、实验报告要求: 1.画出实验电路连线示意图,整理实验数据,分析实验结果与理论值是否相等。 2.设计判断得奖电路时需写出真值表及得到相应输出表达式以及逻辑电路图。 3.总结中规模集成电路的使用方法及功能。

数电逻辑门电路实验报告doc

数电逻辑门电路实验报告 篇一:组合逻辑电路实验报告 课程名称:数字电子技术基础实验指导老师:樊伟敏 实验名称:组合逻辑电路实验实验类型:设计类同组学生姓名:__________ 一、实验目的和要求(必填)二、实验内容和原理(必填)三、主要仪器设备(必填)五、实验数据记录和处理七、讨论、心得 一.实验目的 1.加深理解全加器和奇偶位判断电路等典型组合逻辑电路的工作原理。 2.熟悉74LS00、74LS11、74LS55等基本门电路的功能及其引脚。 3.掌握组合集成电路元件的功能检查方法。 4.掌握组合逻辑电路的功能测试方法及组合逻辑电路的设计方法。 二、主要仪器设备 74LS00(与非门) 74LS55(与或非门) 74LS11(与门)导线电源数电综合实验箱 三、实验内容和原理及结果 四、操作方法和实验步骤 六、实验结果与分析(必填)

实验报告 (一) 一位全加器 1.1 实验原理:全加器实现一位二进制数的加法,输入有被加数、加数和来自相邻低位的进位;输出有全加和与向高位的进位。 1.2 实验内容:用 74LS00与非门和 74LS55 与或非门设计一个一位全加器电路,并进行功能测试。 1.3 设计过程:首先列出真值表,画卡诺图,然后写出全加器的逻辑函数,函数如下: Si = Ai ?Bi?Ci-1 ;Ci = Ai Bi +(Ai?Bi)C i-1 异或门可通过Ai ?Bi?AB?AB,即一个与非门; (74LS00),一个与或非门(74LS55)来实现。Ci = Ai Bi +(Ai?Bi)C 再取非,即一个非门( i-1 ?Ai Bi +(Ai?Bi)C i-1 ,通过一个与或非门Ai Bi +(Ai?Bi)C i-1 ,

组合逻辑电路实验报告.docx

篇一:培养基的制备与灭菌实验报告 陕西师范大学远程教育学院 生物学实验报告 报告题目培养基的制备与灭菌 姓名刘伟 学号 专业生物科学 批次/层次 指导教师 学习中心培养基的制备与灭菌 一、目的要求 1.掌握微生物实验室常用玻璃器皿的清洗及包扎方法。 2.掌握培养基的配置原则和方法。 3.掌握高压蒸汽灭菌的操作方法和注意事项。 二、基本原理 牛肉膏蛋白胨培养基: 是一种应用最广泛和最普通的细菌基础培养基,有时又称为普通培养基。由于这种培养基中含有一 般细胞生长繁殖所需要的最基本的营养物质,所以可供细菌生长繁殖之用。 高压蒸汽灭菌: 主要是通过升温使蛋白质变性从而达到杀死微生物的效果。将灭菌的物品放在一个密闭和加压的灭 菌锅内,通过加热,使灭菌锅内水沸腾而产生蒸汽。待蒸汽将锅内冷空气从排气阀中趋尽,关闭排 气阀继续加热。此时蒸汽不溢出,压力增大,沸点升高,获得高于100℃的温度导致菌体蛋白凝固 变性,而达到灭菌的目的。 三、实验材料 1.药品:牛肉膏、蛋白胨、nacl、琼脂、1mol/l的naoh和hcl溶液。 2.仪器及玻璃器皿:天平、高压蒸汽灭菌锅、移液管、试管、烧杯、量筒、三 角瓶、培养皿、玻璃漏斗等。 3.其他物品:药匙、称量纸、ph试纸、记号笔、棉花等。 四、操作步骤 (一)玻璃器皿的洗涤和包装 1.玻璃器皿的洗涤 玻璃器皿在使用前必须洗刷干净。将三角瓶、试管、培养皿、量筒等浸入含有洗涤剂的水中.用毛 刷刷洗,然后用自来水及蒸馏水冲净。移液管先用含有洗涤剂的水浸泡,再用自来水及蒸馏水冲洗。洗刷干净的玻璃器皿置于烘箱中烘干后备用。 2.灭菌前玻璃器皿的包装 (1)培养皿的包扎:培养皿由一盖一底组成一套,可用报纸将几套培养皿包

数字逻辑实验指导书

《数字逻辑实验指导书》 实验一组合逻辑电路分析与设计 一、实验目的: 1、掌握PLD实验箱的结构和使用; 2、学习QuartusⅡ软件的基本操作; 3、掌握数字电路逻辑功能测试方法; 4、掌握实验的基本过程和实验报告的编写。 二、原理说明: 组合电路的特点是任何时刻的输出信号仅取决于该时刻的输入信号,而与信号作用前电路的状态无关。 (一)组合电路的分析步骤: (二)组合逻辑电路的设计步骤 首先根据给定的实际问题进行逻辑抽象,确定输入、输出变量,并进行状态赋值,再根据给定的因果关系,列出逻辑真值表。然后用公式法或卡诺图法化简逻辑函数式,以得到最简表达式。最后根据给定的器件画出逻辑图。 三、实验内容 (一)组合逻辑电路分析: 1.写出函数式,画出真值表; 2.在QuartusⅡ环境下用原理图输入方式画出原理图,并完成波形仿真; 3.将电路设计下载到实验箱并进行功能验证,说明其逻辑功能。(必做)

(二)组合逻辑电路设计 1.设计一个路灯的控制电路,要求在四个不同的路口都能独立地控制路灯的亮灭。(用异或门实现) 画出真值表,写出函数式,画出实验逻辑电路图。在QuartusⅡ环境下实现设计,完成对波形的仿真,并将设计下载到实验箱并进行功能验证。(必做) 要求:用四个按键开关作为四个输入变量;用一个LED彩灯(发光二极管)来显示输出的状态,“灯亮”表示输出为“高电平”,“灯灭”表示输出为“低电平”。 2.设计一个保密锁电路,保密锁上有三个键钮A、B、C。要求当三个键钮同时按下时,或A、B两 个同时按下时,或按下A、B中的任一键钮时,锁就能被打开;而当不符合上列组合状态时,将使电铃发出报警响声。试设计此电路,列出真值表,写出函数式,画出最简的实验电路。(用最少的与非门实现)。在QuartusⅡ环境下实现设计,完成对波形的仿真,并将设计下载到实验箱并进行功能验证。(选做) (注:取A、B、C三个键钮状态为输入变量,开锁信号和报警信号为输出变量,分别用F1用F2表示。设键钮按下时为“1”,不按时为“0”;报警时为“1”,不报警时为“0”,A、B、C都不按时,应不开锁也不报警。) 三、予习要求: 1.复习组合电路的分析方法和设计方法。 2.预习利用QuartusⅡ和可编程器件(PLD)进行数字电路设计的基本设计方法。 3.画出实验用电路图和记录表格,填好理论值,注明管脚号码。 四、报告要求: 1.实验目的和要求 2.实验主要仪器和设备 3.实验原理 4.实验方案设计、实验方法 5.实验步骤

自动控制元件实验指导书

目录 ●实验室安全操作守则 ●实验一:直流继电器逻辑电路实验●实验二:单相变压器实验 ●实验三:三相感应电动机实验 ●实验四:自整角机实验

实验室安全操作守则 1.首次进入实验室参加实验的学生应认真听取实验指导教师对于安全内容的介绍。 2.实验室总电源由指导教师负责,学生不得擅自接触。 3.为确保人身安全,电机实验时应注意衣服、围巾、发辫及实验用线,防止卷入电动机旋转部件。实验过程中需妥善保管好水 杯、饮料瓶等容器,不许放置在实验操作台上。 4.学生进行实验时,独立完成的实验线路连接或改接,须经指导教师检查无误并提醒注意事项后,方可接通电源。 5.严禁带电接线、拆线、接触带电裸露部位及电机旋转部件。6.各种仪表、设备在使用前应先确认其所在电路的额定工作状态,选择合理的量程。若认为仪表、设备存在问题或发生故障,应 报告指导教师,不得自行排除故障。 7.实验中发生故障时,必须立即切断电源并保护现场,同时报告指导教师。待查明原因并排除故障后,才可继续进行实验。8.实验室内禁止打闹、大声喧哗、乱扔废物以及其它不文明行为。9.实验开始后,学生不得远离实验装置或做与实验无关的事。10.实验完毕后应首先切断电源,再经指导教师检查实验数据后方可拆除实验线路,并将实验仪表、用线摆放整齐。

实验一直流继电器逻辑电路实验 一、实验目的 1.掌握直流继电器主要特征 2.掌握继电器逻辑电路设计方法 3.根据给定的逻辑要求能实现继电器逻辑控制电路的设计与连接。 二、预习思考题 1.继电器逻辑控制电路的作用是什么? 2.如何实现继电器逻辑控制电路? 三、实验主要设备介绍 继电器逻辑电路实验设备实物图 1.继电器逻辑电路实验设备 直流继电器:动作线圈额定电压直流12V,触点二常开、二常闭,共8只。 ★注意本实验中的直流继电器,常开与常闭触点间有一个公共端,设计电路时要考虑这个结构的影响。

组合逻辑电路-实验报告

电子通信与软件工程系2013-2014学年第2学期 《数字电路与逻辑设计实验》实验报告 --------------------------------------------------------------------------------------------------------------------- 班级:姓名:学号:成绩: 同组成员:姓名:学号: --------------------------------------------------------------------------------------------------------------------- 一、实验名称:组合逻辑电路(半加器全加器及逻辑运算) 二、实验目的:1、掌握组合逻辑电路的功能调试 2、验证半加器和全加器的逻辑功能。 3、学会二进制数的运算规律。 三、实验内容: 1.组合逻辑电路功能测试。 (1).用2片74LS00组成图所示逻辑电路。为便于接线和检查.在图中要注明芯片编号及各引脚对应的编号。 (2).图中A、B、C接电平开关,YI,Y2接发光管电平显示. (3)。按表4。1要求,改变A、B、C的状态填表并写出Y1,Y2逻辑表达式. (4).将运算结果与实验比较.

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能.根据半加器的逻辑表达式可知.半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图. (1).在学习机上用异或门和与门接成以上电路.接电平开关S.Y、Z接电平显示.(2).按表4.2要求改变A、B状态,填表. 3.测试全加器的逻辑功能。 (1).写出图4.3电路的逻辑表达式。 (2).根据逻辑表达式列真值表. (3).根据真值表画逻辑函数S i 、Ci的卡诺图. (4).填写表4.3各点状态 (5).按原理图选择与非门并接线进行测试,将测试结果记入表4.4,并与上表进行比较看逻辑功能是否一致.

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

相关文档
相关文档 最新文档