文档库 最新最全的文档下载
当前位置:文档库 › m序列产生

m序列产生

m序列产生
m序列产生

设计内容及要求

基于MATLAB产生m序列

要求:

1.通过matlab编程产生m序列的产生原理及其产生方法。

2.对特定长度的m序列,分析其性质,及其用来构造其它序列的方法。

第二章m序列设计方案的选择

2.1 方案一

MATLAB编程非常简单,无需进行变量声明,可以很方便的实现m序列。

2.2 方案二

图2.1 Simulink实现m序列

Simulink是MATLAB最重要的组件之一,它提供了一个动态系统建模,仿真和综合分析的集成环境。在此环境中无需大量书写程序,而只需通过简单直观的鼠标操作,就可构造出复杂的系统。Simulink具有适应性广,结构及流程清晰及仿真精细等优点,基于以上优点,Simulink已被广泛的运用到控制理论和数字信号处理的复杂仿真和设计。

通过比较方案一和方案二,发现方案一的有点具有通用性而方案二利用MATLAB的Simulink直接搭建模块,在移位寄存器较少的情况下利用此方法比较简单,可是当移位寄存器的个数增多时,要搭建那么多的模块就显的很繁琐了,缺乏通用性,因此本次实验选择方案一。

第三章m序列的产生及性质

3.1 m序列的产生原理、结构及产生

m序列是最长线性反馈移位寄存器序列的简称,m序列是由带线性反馈的移位寄存器产生的。

由n级串联的移位寄存器和反馈逻辑线路可组成动态移位寄存器,如果反馈逻辑线路只由模2和构成,则称为线性反馈移位寄存器。

带线性反馈逻辑的移位寄存器设定初始状态后,在时钟触发下,每次移位后各级寄存器会发生变化,其中任何一级寄存器的输出,随着时钟节拍的推移都会产生一个序列,该序列称为移位寄存器序列。

n级线性移位寄存器的如图3.1所示:

◇A

图3.1 n级线性移位寄存器

图中C i表示反馈线的两种可能连接方式,C i=1表示连线接通,第n-i

级输出加入反馈中;C i=0表示连线断开,第n-i级输出未参加反馈。

因此,一般形式的线性反馈逻辑表达式为

------表达式3.1将等式左边的a n移至右边,并将a n=C0a n(C0=1)带入上式,则上式可以

写成

-------表达式3.2

定义一个与上式相对应的多项式

--------表达式3.3

其中x的幂次表示元素的相应位置。该式为线性反馈移位寄存器的特征多项式,特征多项式与输出序列的周期有密切关系。当F(x)满足下列三个条件时,就一定能产生m序列:

(1)F(x)是不可约的,即不能再分解多项式;

(2)F(x)可整除x n+1,这里p=2n+1;

(3)F(x)不能整除x n+1,这里q

满足上述条件的多项式称为本原多项式,这样产生m序列的充要条件就变成了如何寻找本原多项式。

3.2 m序列的基本性质

(1)均衡性。在m序列一个周期中‘1’的个数比‘0’要多1位,这表明序列平均值很小。

(2)m序列与其移位后的序列模2相加,所得的序列还是m序列,只是相位不同而已。例如:1110100与向又移3位的序列1001110相对应模二相加后的序列为0111010,相当于原序列向右移一位后的序列,仍为m序列。(3)m序列发生器中移位寄存器的各种状态,除全0状态外,其他状态只在m序列中出现一次。

(4)m序列发生器中,并不是任何抽头组合都能产生m序列。理论分析指出,产生的m序列数由下式决定:

--------表达式3.4

其中φ(X)为欧拉数。例如5级移位寄存器产生31位m序列只有6个。(5)m序列具有良好的自相关性,其自相关系数:

-------表达式3.5

从m序列的自相关系数可以看出m序列是一个狭义伪随机码。

图3.2 m序列信号的自相关函数

3.3 生成m序列的模块

根据m序列的生成原理图,如图3.1所示,由图可知m序列是多级移位寄存器通过线性反馈再进行模二相加产生的,最后一位一位输出观察其波形图。程序中使用的代码如下:

N=2^length(reg1)-1;

for k=1:N

a_n=mod(sum(reg1.*coeff1(1:length(coeff1)-1)),2);

reg1=[reg1(2:length(reg1)),a_n];

out1(k)=reg1(1);

end

其中N为m序列的长度,值为(26-1)。由程序已定义了移位寄存器的初始状态和抽头系数,在此基础上进行反馈,后进行模二加,所得的结果为输出的第一个值,初始状态向左移移位,而所得的输出值填补上变成新的序列,在进行第二次反馈和模二加,依次循环N次,产生m序列

第四章 m序列构造其他序列

Gold序列具有三值互相关函数,其值为:

]2)([1

,1),(1---r t p

p r t p (式2.10) 这里,

???++=-=++的倍数为偶数但不是,为奇数

42

1,21)(,12)

2(5.0)1(5.0r r r t p r r r

(式2.11) 当r 为奇数时,gold 序列中约有50%的码序列归一化相关函数值为-1/p 。当r 为偶数但又不是4的倍数是,约有75%的码序列归一化互相关函数值为-1/p 。

Gold 序列是R.Gold 于1967年提出来的,它由两个m 序列按下述方法演变而来的:把2个码长相同的m 序列移位并进行模2加,如果相加的两个m 序列是一对优选对,则相加的结果为一个Gold 序列。

设有一对周期为N=2r -1的m 序列优选对{a },{b },以其中任意一个序列为基准序列,如{a },对另一个序列{b }进行移位i 次,得到{b }的移位序列{b i },然后与序列{a }进行模二加得到一个新的周期为N 的序列{c },则称新序列{c }为Gold 序列,既

{c i }={a }+{b } i=0,1,2,....,N

4.1 Gold 序列的产生方框图

产生gold 序列的程序代码如下: gold=mod(out1+out2,2);

第五章 程序调试及运行结果

5.1仿真设计流程图

图5.1 实验仿真流程图

仿真准备

规划程序模块

编写程序

确认程序无 误

设置仿真参数

运行,调试及数据分析

生成仿真图形 是否达到要 求 结束

5.2实验的调试与运行结果

程序中把移位寄存器的初始值定义全为1,抽头系数定义为[1000011]和[1100111],根据公式m序列的长度=2n-1,可知道所得的两个m序列的长度都为63,所利用的移位寄存器为6个。代码如下:

reg1=ones(1,6); %寄存器初始状态

coeff1=[1 0 0 0 0 1 1]; %设置系数

reg2=ones(1,7); %寄存器初始状态

coeff2=[1 0 0 1 1 1 1]; %设置系数

程序检测无误后,运行程序,得到图形如下:

图5.2 运行后的两m序列波形仿真图

根据产生Gold序列的原理,运行程序,得到如下Gold序列的仿真图;

图5.3 运行后Gold序列的仿真图

自相关性:首先将第一个m序列变成双极性的序列,在与本身进行移位相乘进行积分运算,代码如下:

out1=2*out1-1; %变为双极性序列

for j=0:N-1

rho(j+1)=sum(out1.*[out1(1+j:N),out1(1:j)])/N;

end

j=-N+1:N-1;

rho=[fliplr(rho(2:N)),rho];

figure(3)

plot(j,rho);

axis([-10 10 -0.1 1.2]);title('第一个m序列的自相关函数')

互相关性:第一个m序列的函数与第二个m序列函数的移位相乘进行积分运算。代码如下:

for j=0:N-1

R(j+1)=sum(out1.*[out2(1+j:N),out2(1:j)]);

图5.4 m序列自相关性仿真图

图5.5 两m序列的互相关性仿真图

5.3 错误排除

实验过程中出了许多错误,特别是在对序列的自相关性上,缺乏了对自相关性的了解,导致出的波形错误,但在查找资料,修改自相关函数,终于得到了正确的仿真图。

结论

课程设计是一个十分有价值、有意义的实践活动,把一个课题设计好不是一步到位的,是经过反复修改,不断调试的过程,期间有困难也有乐趣,使对工程实践有了一个初步的认识。

本次课程设计实现了设计要求,利用软件实现m序列的生成,通过这次实验不但加深了对m序列的了解,而且对MATLAB的编程有了很好的掌握,虽然在仿真过程中会出现一些如程序不对或出的仿真图没有达到实验要求,如m序列中‘1’的个数要比‘0’的个数多1,而实际出的仿真图‘1’和‘0’的个数却是相等的,最后在调整了顶层原理图才使得仿真图正确,在不断的程序调整中提高了自己的能力。

m序列可以用软件实现,也可以用硬件实现,但是通过此次实验看出了软件的诸多优点。在课程设计的过程中,查询了大量的资料,通过相关资料的查询,使我对通信领域的有关知识有了一定的了解,扩大了知识面。

[1]肖国镇,梁传甲.伪随机序列及其应用[M].北京:国防工业出版社.1985

[2]林可祥,汪一飞.伪随机码的原理与应用[M].北京:人民邮电出版社.1998.

[3]吴先用,邹学玉.一种m序列伪码发生器的产生方法[J].西安:西安电子科技大学出版社.2003

clear;

reg1=ones(1,7); %寄存器初始状态

coeff1=[1 0 0 0 0 1 1]; %设置系数

N=2^length(reg1)-1;

%产生m序列

for k=1:N

a_n=mod(sum(reg1.*coeff1(1:length(coeff1)-1)),2);

reg1=[reg1(2:length(reg1)),a_n];

out1(k)=reg1(1);

end

reg2=ones(1,7); %寄存器初始状态

coeff2=[1 10 0 1 1 1]; %设置系数

N=2^length(reg2)-1;

for k=1:N

a_n=mod(sum(reg2.*coeff2(1:length(coeff2)-1)),2); %移位,反馈

reg2=[reg2(2:length(reg2)),a_n]; %反馈

out2(k)=reg2(1); %取第一个值输出

end

%产生gold序列

gold=mod(out1+out2,2);

c=1:N;

figure(1)

[b1,t1]=stairs(c,out1);

subplot(2,1,1);plot(b1,t1);

axis([0 130 -0.1 1.1]);title('第一个m序列');

[b2,t2]=stairs(c,out2);

subplot(2,1,2);plot(b2,t2);

axis([0 130 -0.1 1.1]);title('第二个m序列');

figure(2)

[b3,t3]=stairs(c,gold);

plot(b3,t3);

axis([0 130 -0.1 1.1]);title('gold序列')

out1=2*out1-1; %变为双极性序列

out2=2*out2-1;

%自相关函数

for j=0:N-1

rho(j+1)=sum(out1.*[out1(1+j:N),out1(1:j)])/N;

end

j=-N+1:N-1;

rho=[fliplr(rho(2:N)),rho];

figure(3)

plot(j,rho);

axis([-10 10 -0.1 1.2]);title('第一个m序列的自相关函数') %互相关函数

for j=0:N-1

R(j+1)=sum(out1.*[out2(1+j:N),out2(1:j)]);

end

j=-N+1:N-1;

R=[fliplr(R(2:N)),R];

figure(4)

plot(j,R);

axis([-N N -20 20]);title('两个m序列的互相关函数');

M序列(百度百科)

m序列是目前CDMA系统中采用的最基本的PN序列。是最长线性反馈移位寄存器序列的简称

基本概念编辑

m序列

m序列是最长线性移位寄存器序列的简称,是一种伪随机序列、伪噪声(PN)码或伪随机码。可以预先确定并且可以重复实现的序列称为确定序列;既不能预先确定又不能重复实现的序列称随机序列;不能预先确定但可以重复产生的序列称伪随机序列。

具体解释

对于一个n级反馈移位寄存器来说,最多可以有2^n 个状态,对于一个线性反

m序列的应用

馈移位寄存器来说,全“0”状态不会转入其他状态,所以线性移位寄存器的序列的最长周期为2^n-1。当n级线性移位寄存器产生的序列{ai}的周期为T= 2^n-1时,称{ai}为n级m序列。

当反馈函数f(a1,a2,a3,…an)为非线性函数时,便构成非线性移位寄存器,其输出序列为非线性序列。输出序列的周期最大可达2^n ,并称周期达到最大值的非线性移位寄存器序列为M序列。

M序列特性

1. 均衡特性(平衡性)

m序列每一周期中1 的个数比0 的个数多1 个

2. 游程特性(游程分布的随机性)

M序列中,状态“0”或“1”连续出现的段称为游程。游程中“0”或“1”的个数称为游程长度。

m序列的一个周期(p=2^n-1)中,游程总数为2^n-1,“0”、“1”各占一半。

3. 移位可加性

2个彼此移位等价的相异M序列,按模2相加所得的序列仍为M序列,并与原M序列等价

生成m序列与gold序列

一、生成m序列 function [mseq] = m_sequence(fbconnection); n = length(fbconnection); N = 2^n-1; %m序列的长度 register = [zeros(1,n - 1) 1]; %定义移位寄存器的初始状态 mseq(1)= register(n); %m序列的第一个输出码元 for i = 2:N newregister(1)= mod(sum(fbconnection.*register),2); %寄存器与反馈的模2和 for j = 2:n, newregister(j)= register(j-1); end; register = newregister; %移位后的寄存器 mseq(i) = register(n); %新的寄存器输出 end clear all; close all; clc; fbconnection=[0 0 1 0 1]; %输入本原多项式系数,从C1开始 m_sequence=m_sequence(fbconnection); stem(m_sequence); %对m序列绘图 axis([0 35 -0.2 1.2]); grid on;

二、生成gold序列 function goldseq = g_sequence(connection1,connection2); msequence1 = m_sequence(connection1); %生成第一个m序列 msequence2 = m_sequence(connection2); %生成第二个m序列 N=2^length(connection1)-1; %gold序列长度 for i = 1:N; s = mod(msequence1+msequence2,2); %两个m序列模二加产生gold序列 goldseq = s; end clear all; close all; clc; connection1=[0 0 0 0 1 1]; connection2=[1 0 0 1 1 1]; goldseq = g_sequence(connection1,connection2);

m序列产生及其特性实验

湖南科技大学 移动通信实验报告 姓 名: 吴文建 学 号: 1208030104 专业班级: 应用电子技术教育一班 实验名称: m 序列产生及其特性实验 实验目的: 掌握m 序列的特性、产生方法及其应用 实验仪器:1、pc 机一台 2、 实验原理: 1、m 序列的产生 :m 序列是由带线性反馈的移存器产生的。结构如图: a n-1 a n-r ... a n-3 a n-2 C 1 C r C 3C 2 ...C 0 输出 输出为反馈移位寄存器的结构,其中an-i 为移位寄存器中每位寄存器的状态,Ci 为第i 位寄存器的反馈系数。Ci =1表示有反馈,Ci =0表示无反馈。 一个线性反馈移位寄存器能否产生m 序列,取决于它的反馈系数Ci (例如上图的C3)。 对于m 序列,Ci 的取值必须按照一个本原多项式: ∑==n i i i x C x f 0 )(中的二进制系数来取值。 n 级移位寄存器可以产生的m 序列个数由下式决定: r N r ) 12(-Φ= 其中φ(x )为欧拉函数,表示小于等于x 并与x 互质的正整数个数(包括1在内)。 表1-1-1列出了部分m 序列的反馈系数C i ,按照下表中的系数来构造移位寄存器,就能产生相应的m 序列。

表1-1-1 m序列的反馈系数表 m序列的级数n m序列的周期P 反馈系数Ci(八机制) 3 7 13 4 1 5 23 5 31 45,67,75 6 63 103,147,155 7 127 203,211,217,235,277,313,325,345,367 8 255 435,453,537,543,545,551,703,747 9 511 1021,1055,1131,1157,1167,1175 10 1023 2011,2033,2157,2443,2745,3271 11 2047 4005,4445,5023,5263,6211,7363 12 4095 10123,11417,12515,13505,14127,15053 13 8192 20033,23261,24633,30741,32535,37505 14 16383 42103,51761,55753,60153,71147,67401 15 32765 100003,110013,120265,133663,142305 m序列的具有以下性质: (1)均衡性。m序列中0和1的数目基本相等 (2)游程分布 (3)移位相加性 (4)相关特性。自相关波形如图1-1-3所示 -1/p 1 P 图1-1-3 m序列的自相关波形(5)周期性 (6)伪随机性。分布无规律,具有与白噪声相似的伪随机特性 实验步骤: (1)预习m序列产生原理及其性质,独立设计m序列产生方法。 (2)画出m序列仿真流程图 (3)编写MATLAB程序并上机调试。 (4)验证m序列的相关性质。 (5)撰写实验报告。

扩频编码M序列和gold序列

M序列 由n级移位寄存器所能产生的周期最长的序列。这种序列必须由非线性移位寄存器产生,并且周期为2n(n 为移位寄存器的级数)。例如,考察图中a的非线性反馈移位寄存器,其状态转移关系如表:

状态(a k-3,a k-2,a k-1)的接续状态是(a k-2,a k-1,a k),其中a k=a k-3嘰a k-1嘰1嘰a k-2a k-1是一种非线性逻辑。从任一状态出发,例如从(000)出发,其接续状态恰好构成一个完全循环(图b),由此产生一个周期为23=8的3级序列。M序列最早是用抽象的数学方法构造的。它出现于组合数学的一些数学游戏中,例如L.欧拉关于哥尼斯堡的七桥问题等。后来发现这种序列具有某些良好的伪随机特性。例如,M序列在一个周期中,0与1的个数各占一半。同时,同样长度的0游程与1游程也各占一半。所有这些性质在数据通信、自动控制、光学技术和密码学诸领域中均有重要应用。 隐蔽通信内容的通信方式。为了使非法的截收者不能理解通信内容的含义,信息在传输前必须先进行各种形式的变化,成为加密信息,在收信端进行相应的逆变化以恢复原信息。电报通信、电话通信、图像通信和数据通信,都有相应的保密技术问题。另一方面,为了从保密通信中获得军事、政治、经济、技术等机密信息,破译技术也在发展。保密技术和破译技术是在相互对立中发展起来的。 1881年世界上出现了第一个电话保密专利。电话保密开始是采用模拟保密或置乱的方法,即把话音的频谱或时间分段打乱。置乱后的信号仍保持连续变化的性质。在第二次世界大战期间,频域和时域的置乱器在技术上已基本成熟。70年代以来,由于采用集成电路,电话保密通信得到进一步完善。但置乱器仍是有线载波和短波单边带电话保密通信的主要手段。模拟保密还可以采用加噪声掩盖、人工混响或逆向混响等方法,但因恢复后话音的质量大幅度下降或保密效果差,这些方法没有得到推广应用。数字保密是由文字密码发展起来的。数字信号(包括由模拟信号转换成的数字信号),由相同速率的密码序列加密,成为数字保密信号;保密信号传输到收信端后由同一密码序列去密,恢复原数字信号。随着集成电路的发展,数字保密通信已成为保密通信的主要发展方向。话音、图像等模拟信号都可以用数字保密方式。一般来说,数字破译要比模拟破译困难得多。数字保密的主要限制是传输数字信号所需带宽要比传输模拟信号的带宽大好多倍。 模拟保密通信话音信号置乱后的带宽基本保持不变,这是模拟保密通信的一个特点。但是,置乱后恢复的话音质量有所下降。置乱的过程越复杂,则话音质量下降的程度越大。 倒频用倒频器(图1)把话音频谱颠倒过来,使高频变为低频,低频变为高频,这是最简单的一种频域置乱方法。频域置乱器的基本电路是平衡调制器和带通滤波器。平衡调制器可以搬移和倒置频谱,而滤波器可以滤取所需要的频谱成分。输入的话音信号经过平衡调制器后输出上、下两个边带。适当地选择

基于5级m序列的探究及仿真

通信系统综合设计与实践 题目基于5级m序列 的反馈系数的探究 院(系)名称信息工程学院 专业名称通信工程 学生姓名金宇、张艳丽、赵春阳 学生学号090110079、090110085、090110026 指导教师赵春雨 2012年05月21日

目录 1背景及原理 (1) 1.1探究n级m序列的反馈系数背景及目的 (1) 1.2 生成m序列的原理及方法 (1) 2 确定反馈系数的方法 (3) 2.1 判断本原多项式的方法 (3) 2.2 基于5级循环序列发生器特征方程组中满足本原多项式的反馈系数的分 析 (3) 2.3 基于5级循环序列发器反馈系数的程序 (5) 3 m序列的相关性质 (8) 3.1 m序列的性质 (8) 3.2 不同反馈系数对应m序列的性质的分析 (8) 3.2.1、平衡性 (8) 3.2.2、自相关性 (9) 3.2.3 互相关性分析 (12) 4 不同反馈系数对应的m序列对扩频通信系统抗干扰性能影响 (16) 4.1 m序列在直接序列扩频通信系统应用的simulink的仿真观察 (16) 4.2 不同/相同长度的不同m序列对扩频通信系统性能影响的matlab的仿 真 (19) 总结 (22) 参考文献 (23) 附录 (24) 附录A (24) 附录B (24) 附录C (25) 附录D (26) 指导教师评语: (31)

基于5级m序列的反馈系数的探究 摘要 m序列易于从干扰信号中被识别和分离出来,又可以方便地产生和重复,有随机噪声的优点,易于实现相关接受或匹配接受, 因此伪随机序列在相关辩识、伪码测距、扩频通信、多址通信、分离多径、误码测试、数据加扰、信号同步等方面均有广泛的应用。n级循环序列生成器生成m序列和自身的反馈系数密切相关,本文我们提供了n级循环序列发生器能产生m序列的反馈系数的判断方法,及分析了不同的反馈系数对扩频通信系统性能的影响,并在matlab环境下运行了模拟仿真。 首先,我们利用本原多项式生成算法,确定一个伪随机序列的特征方程中对应的m序列,进而确定相关m序列的反馈系数。又对m序列的性质进行的相关分析,我们得出了m序列平衡性为1,m序列越尖锐自相关性越明显,且仅在k=0时出现峰值。我们又进一步利用matlab仿真并分析不同m序列在直接扩频通信系统中的仿真,我们可知在实际应用中选择自相关性大、互相关小的m序列作为扩频序列,另外m序列越长可以提高系统的抗干扰能力、降低系统的误码率及增加系统的容量,由此选择最佳的反馈系数生成最优的m序列对于提高扩频通信系统性能指标具有非常重要的意义。 关键词: 伪随机序,m序列,反馈系数,仿真

m序列和Gold序列特性研究

扩频通信实验报告 - I- Harbin Institute of Technology 扩频通信实验报告 课程名称: 扩频通信 实验题目: Gold 码特性研究 院 系: 电信学院 班 级: 通信一班 姓 名: 学 号: 指导教师: 迟永钢 时 间: 2012年5月8日 哈尔滨工业大学

第1章实验要求 1.以r=5 1 45E为基础,抽取出其他的m序列,请详细说明抽取过程; 2.画出r=5的全部m序列移位寄存器结构,并明确哪些序列彼此是互反多项式; 3.在生成的m序列集中,寻找出m序列优选对,请确定优选对的数量,并画 出它们的自相关和互相关函数图形; 4.依据所选取的m序列优选对生成所有Gold序列族,确定产生Gold序列族的 数量,标出每个Gold序列族中的所有序列,并实例验证族内序列彼此的自相关和互相关特性; 5.在生成的每个Gold序列族内,明确标出平衡序列和非平衡序列,并验证其 分布关系。 6.完整的作业提交包括:纸质打印版和电子版两部分,要求两部分内容统一, 且在作业后面附上源程序,并加必要注释。 7.要求统一采用Matlab软件中的M文件实现。

第2章 实验原理 2.1 m 序列 二元m 序列是一种伪随机序列,有优良的自相关函数,是狭义伪随机序列。m 序列易于产生于复制,在扩频技术中得到了广泛应用。 2.1.1 m 序列的定义 r 级非退化的移位寄存器的组成如图1所示,移位时钟源的频率为c R 。r 级线性移位寄存器的反馈逻辑可用二元域GF(2)上的r 次多项式表示 2012() {0,1}r r i f x c c x c x c x c =++++∈ (1) 图 2-1 r 级线性移位寄存器 式(1)称为线性移位寄存器的特征多项式,其给出的表示反馈网络的而逻辑关系式是现行的。因此成为线性移位寄存器。否则称为,非线性移位寄存器。 对于动态线性移位寄存器,其反馈逻辑也可以用线性移位寄存器的递归关系式来表示 112233 {0,1}i i i i r i r i a c a c a c a c a c ----=++++∈ (2) 特征多项式(1)与递归多项式(2)是r 级线性移位寄存器反馈逻辑的两种不同种表示法,因其应用的场合不同而采用不同的表示方法。以式(1)为特征多项式的r 级线性反馈移位寄存器所产生的序列,其周期21r N ≤-。假设以GF(2)域上r 次多项式(1)为特征多项式的r 级线性移位寄存器所产生的非零序列{}i a 的周期为21r N =-,称序列为{}i a 是最大周期的r 级线性移位寄存器序列,简称m 序列。

m序列Simulink仿真实现

m序列Simulink仿真Verilog实现1. 4阶m序列生成器

Simulink模型如下: 其中,可以在Unit Delay属性中设置初始值为1000,由于Unit Delay输出为double,所以要将其转为Boolean以便进行模二加运算,使用XOR实现。

下面分别是最后一级和所有级的输出波形,可以看出,与上面的是一致的。

Verilog实现 `timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 11:02:17 05/01/2012 // Design Name: // Module Name: PNcode // Project Name: ////////////////////////////////////////////////////////////////////////////////// module PNcode( clk, rst, PNstate, PNout

); input clk; input rst; output PNstate; output PNout; // PN code n = 4, f(x) = 1 + x + x^4 parameter order = 4; reg PNout = 0; reg [order-1 : 0] PNstate = 0; always @ (posedge clk) if(rst == 1) begin PNout <= 0; PNstate <= 4'b1000; // PN seed = b1000 end else begin PNout <= PNstate[0]; PNstate <= {PNstate[3]+PNstate[0], PNstate[3:1]}; end endmodule 测试文件: `timescale 1ns / 1ps //////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 14:37:43 05/01/2012 // Design Name: PNcode // Module Name: E:/me/CAST/Project/FPGAcomm/PNcode/PNcode_tb.v

Gold序列与m序列仿真应用

1. 绪论 m 序列具有优良的双值自相关特性,但互相关特性不是很好。作为CDMA 通信地址码时,由于互相关特性不理想,使得系统内多址干扰影响增大,且可用地址码数量较少。在某些应用场合,利用狭义伪随机序列复合而成复合序列更为有利。这是因为通过适当方法构造的复合序列具有某些特殊性质。Gold 序列就是一种复合序列,而且具有良好的自相关与互相关特性,地址码数量远大于m 序列,且易于实现、结构简单,在工程上得到广泛应用。 表1是m 序列和Gold 序列的主要性能比较,表中max ?为m 序列的自相关峰值,(0)s ?为自相关主峰;()t n 为Gold 序列的互相关峰值,(0)g ?为其自相关主峰。从表1中可以看出:当级数n 一定时,Gold 序列中可用序列个数明显多于m 序列数,且Gold 序列的互相关峰值和主瓣与旁瓣之比都比m 序列小得多,这一特性在实现码分多址时非常有用。 表1. m 序列和Gold 序列性能比较 在引入Gold 序列概念之前先介绍一下m 序列优选对。m 序列优选对,是指在m 序列集中,其互相关函数绝对值的最大值(称为峰值互相关函数)max ()R τ最接近或达到互相关值下限(最小值)的一对m 序列。 设{a i }是对应于r 次本原多项式F 1(x )所产生的m 序列, {b i } 是另一r 次本原多项式F 2(x )产生的m 序列,峰值互相关函数满足 12 max 2 221()214r ab r r R τr ++?+?≤??+? 为奇数 为偶数但不是的整倍数 (1) 则m 序列{a i }与{b i }构成m 序列优选对。 例如:6r =的本原多项式61()1F x x x =++与6522()1F x x x x x =++++所产生的m 序列{}i a 与{}i b ,其峰值互相关函数2622 2 max ()172 12117r ab R τ++=≤+=+=。满足式(1) ,故{}i a 与{}i b 构成m 序列优选对。而本原多项式65323()1F x x x x x =++++所产生的m 序列 {}i c ,与m 序列{}i a 的峰值互相关函数max ()2317ac R τ=>,不满足上式,故{}i a 与{}i c 不 是m 序列优选对。 2. Gold 序列 1967年,R·Gold 指出:“给定移位寄存器级数r 时,总可找到一对互相关函数值是最小的码序列,采用移位相加方法构成新码组,其互相关旁瓣都很小,且自相关函数和互相关函数均有界”。这样生成的序列称为Gold 码(Gold 序列)。 Gold 序列是m 序列的复合序列,由两个码长相等、码时钟速率相同的m 序列优选对的模2

基于MATLAB的m序列产生

第一章设计内容及要求 基于MATLAB产生m序列 要求: 1.通过matlab编程产生m序列的产生原理及其产生方法。 2.对特定长度的m序列,分析其性质,及其用来构造其它序列的方法。

第二章m序列设计方案的选择 2.1 方案一 MATLAB编程非常简单,无需进行变量声明,可以很方便的实现m序列。 2.2 方案二 图2.1 Simulink实现m序列 Simulink是MATLAB最重要的组件之一,它提供了一个动态系统建模,仿真和综合分析的集成环境。在此环境中无需大量书写程序,而只需通过简单直观的鼠标操作,就可构造出复杂的系统。Simulink具有适应性广,结构及流程清晰及仿真精细等优点,基于以上优点,Simulink已被广泛的运用到控制理论和数字信号处理的复杂仿真和设计。 通过比较方案一和方案二,发现方案一的有点具有通用性而方案二利用MATLAB的Simulink直接搭建模块,在移位寄存器较少的情况下利用此方法比较简单,可是当移位寄存器的个数增多时,要搭建那么多的模块就显的很繁琐了,缺乏通用性,因此本次实验选择方案一。

第三章m序列的产生及性质 3.1 m序列的产生原理、结构及产生 m序列是最长线性反馈移位寄存器序列的简称,m序列是由带线性反馈的移位寄存器产生的。 由n级串联的移位寄存器和反馈逻辑线路可组成动态移位寄存器,如果反馈逻辑线路只由模2和构成,则称为线性反馈移位寄存器。 带线性反馈逻辑的移位寄存器设定初始状态后,在时钟触发下,每次移位后各级寄存器会发生变化,其中任何一级寄存器的输出,随着时钟节拍的推移都会产生一个序列,该序列称为移位寄存器序列。 n级线性移位寄存器的如图3.1所示: ◇A 图3.1 n级线性移位寄存器 图中C i表示反馈线的两种可能连接方式,C i=1表示连线接通,第n-i 级输出加入反馈中;C i=0表示连线断开,第n-i级输出未参加反馈。 因此,一般形式的线性反馈逻辑表达式为 ------表达式3.1将等式左边的a n移至右边,并将a n=C0a n(C0=1)带入上式,则上式可以 写成 -------表达式3.2 定义一个与上式相对应的多项式 --------表达式3.3 其中x的幂次表示元素的相应位置。该式为线性反馈移位寄存器的特征

M序列的matlab产生方法

M序列是工程中常用的输入信号,它的性质类似于白噪声,而白噪声是理论上最好的输入信号,可见M序列的价值。下面介绍M序列的matlab产生方法。 idinput函数 产生系统辨识常用的典型信号。 格式 u = idinput(N,type,band,levels) [u,freqs] = idinput(N,'sine',band,levels,sinedata) N 产生的序列的长度,如果N=[N nu],则nu为输入的通道数,如果N=[P nu M],则nu 指定通道数,P为周期,M*P为信号长度。默认情况下,nu=1,M=1,即一个通道,一个周期。 Type 指定产生信号的类型,可选类型如下 Band 指定信号的频率成分。对于’rgs’、’rbs’、’sine’,band = [wlow, whigh]指定通带的范围,如果是白噪声信号,则band=[0, 1],这也是默认值。指定非默认值时,相当于有色噪声。 对于’prbs’,band=[0, B],B表示信号在一个间隔1/B(时钟周期)内为恒值,默认为[0, 1]。 Levels 指定输入的水平。Levels=[minu, maxu],在type=’rbs’、’prbs’、’sine’时,表示信号u的值总是在minu和maxu之间。对于type=’rgs’,minu指定信号的均值减标准差,maxu指定信号的均值加标准差,对于0均值、标准差为1的高斯白噪声信号,则levels=[-1, 1],这也是默认值。 说明 对于PRBS信号,如果M>1,则序列的长度和PRBS周期会做调整,使PRBS的周期为对应一定阶数的最大值(即2^n-1,n为阶数);如果M=1,PRBS的周期是大于N的相应阶数的值。在多输入的情形时,信号被最大平移,即P/nu为此信号能被估计的模型阶次的上界。 上面的意思可如下理解:对于M=1时, ms = idinput(12, 'prbs', [0 1], [0 1]); figure stairs(ms) title('M序列') ylim([-0.5 1.5])

m序列产生实验

m序列产生实验 一、实验目的 1、m序列产生的基本方法; 2、m序列0状态消除的基本手段; 二、实验仪器 1、JH5001型通信原理实验箱一台; 2、MaxplusII开发环境一台; 3、JTAG下载电缆一根; 4、CPLD下载板一块; 5、微机一台; 6、示波器一台; 三、实验原理 m序列产生电路在通信电路设计中十分重要,它广泛使用在扩频通信、信号产生、仪器仪表等等电路中。 m序列有时也称伪噪声(PN)或伪随机序列,在一段周期内其自相关性类似于随机二进制序列。尽管伪噪声序列是确定的,但其具有很多类似随机二进制序列的性质,例如0和1的数目大致相同,将序列平移后和原序列的相关性很小。 PN序列通常由序列逻辑电路产生,一般是由一系列的两状态存储器和反馈逻辑电路构成。二进制序列在时钟脉冲的作用下在移位寄存器中移动,不同状态的输出逻辑组合起来并反馈回第一级寄存器作为输入。当反馈由独立的“异或”门组成(通常是这种情况),此时移位寄存器称为线性PN序列发生器。 如果线性移位寄存器在某些时刻到达零状态,它会永远保持零状态不变,因此输出相应地变为全零序列。因为n阶反馈移位寄存器只有2n-1个非零状态,所以由n阶线性寄存器生成的PN序列不会超过2n-1个。周期为2n-1的线性反馈寄存器产生的序列称为最大长度(ML)序列——m序列。

m 序列发生器的一般组成 m 序列发生器一般组成如上图所示,它用n 级移位寄存器作为主支路,用若干级模2加法器作为各级移位寄存器的抽头形成线性反馈支路。各抽头的系数hi 称为反馈系数,它必须按照某一个n 次本原多项式:∑==n i i i x h x h 0)(中的二进制 系数来取值。 在伪序列发生模块中,可以根据本原多项式的系数,…..h 8、h 7、h 6、h 5、h 4、h 3、h 2、h 1、h 0产生m 序列,这些系数可表示8进制数(1代表相连抽头进入反馈回路,0代表该抽头不进入反馈回路),如: 13、23、103、203 四、 课题设计要求 在输入时钟256KHz 的时钟作用下,可在外部跳线器的控制下改变产生不同的m 序列,在程序中定义的几个变量为: 输入: Main_CLK :输入 256KHz 主时钟 M_Sel[1..0]:选择输出不同的m 序列 当 Mode[]=0:本原多项式为13(8进制表示); 当 Mode[]=1:本原多项式为23(8进制表示); 当 Mode[]=2:本原多项式为103(8进制表示); 当 Mode[]=3:本原多项式为203(8进制表示); 输出: M_Out :m 序列输出 说明: 1、 M_Sel[1..0]与复接模块的m_sel0、m_sel1相连; M_Out 在测试点TPB01输出; 五、 实验步骤 1、将JH5001二次开发光盘内的基本程序m.tdf 及其它相关程序(在光盘的“2th\student_m ”子目录下)拷入机器内。注意:这里面有基本的程序框架(变量定义、主程序入口),在m.acf 文件中所有定义不要改动,特别是

m序列产生及其特性

一、实验目的 通过本实验掌握m 序列的特性、产生方法及应用。 二、实验内容 1、观察m 序列,识别其特征。 2、观察m 序列的自相关特性。 三、基本原理 m 序列是有n 级线性移位寄存器产生的周期为21n -的码序列,是最长线性移位寄存器序列的简称。码分多址系统主要采用两种长度的m 序列:一种是周期为1521-的m 序列,又称短PN 序列;另一种是周期为 4221-的m 序列,又称为长PN 码序列。m 序列主要有两个功能:①扩展调制信号的带宽到更大的传输带宽, 即所谓的扩展频谱;②区分通过多址接入方式使用同一传输频带的不同用户的信号。 3、m 序列的互相关函数 两个码序列的互相关函数是两个不同码序列一致程度(相似性)的度量,它也是位移量的函数。当使 用码序列来区分地址时,必须选择码序列互相关函数值很小的码,以避免用户之间互相干扰。 研究表明,两个长度周期相同,由不同反馈系数产生的m 序列,其互相关函数(或互相关系数)与自 相关函数相比,没有尖锐的二值特性,是多值的。作为地址码而言,希望选择的互相关函数越小越好,这 样便于区分不同用户,或者说,抗干扰能力强。 在二进制情况下,假设码序列周期为P 的两个m 序列,其互相关函数R xy (τ)为 ()xy R A D τ=- (9-9) 式中,A 为两序列对应位相同的个数,即两序列模2加后“0”的个数;D 为两序列对应位不同的个数, 即两序列模2加后“1”的个数。 为了理解上述指出的互相关函数问题,在此以5n =时由不同的反馈系数产生的两个m 序列为例计算它 们的互相关系数,以进一步讲述m 序列的互相关特性。将反馈系数为8(45)和8(75)时产生的两个5级m 序 列分别记做:1m :1000010010110011111000110111010和2m :111110111000101011010000110100,序列1m 和 2m 的互相关函数如表9-3所示。 表9-3序列1m 和2m 的互相关函数表

m序列产生要点

设计内容及要求 基于MATLAB产生m序列 要求: 1.通过matlab编程产生m序列的产生原理及其产生方法。 2.对特定长度的m序列,分析其性质,及其用来构造其它序列的方法。 第二章m序列设计方案的选择 2.1 方案一 MATLAB编程非常简单,无需进行变量声明,可以很方便的实现m序列。 2.2 方案二 图2.1 Simulink实现m序列 Simulink是MATLAB最重要的组件之一,它提供了一个动态系统建模,仿真和综合分析的集成环境。在此环境中无需大量书写程序,而只需通过简单直观的鼠标操作,就可构造出复杂的系统。Simulink具有适应性广,结构及流程清晰及仿真精细等优点,基于以上优点,Simulink已被广泛的运用到控制理论和数字信号处理的复杂仿真和设计。

通过比较方案一和方案二,发现方案一的有点具有通用性而方案二利用MATLAB的Simulink直接搭建模块,在移位寄存器较少的情况下利用此方法比较简单,可是当移位寄存器的个数增多时,要搭建那么多的模块就显的很繁琐了,缺乏通用性,因此本次实验选择方案一。 第三章m序列的产生及性质 3.1 m序列的产生原理、结构及产生 m序列是最长线性反馈移位寄存器序列的简称,m序列是由带线性反馈的移位寄存器产生的。 由n级串联的移位寄存器和反馈逻辑线路可组成动态移位寄存器,如果反馈逻辑线路只由模2和构成,则称为线性反馈移位寄存器。 带线性反馈逻辑的移位寄存器设定初始状态后,在时钟触发下,每次移位后各级寄存器会发生变化,其中任何一级寄存器的输出,随着时钟节拍的推移都会产生一个序列,该序列称为移位寄存器序列。 n级线性移位寄存器的如图3.1所示: ◇A 图3.1 n级线性移位寄存器 图中C i表示反馈线的两种可能连接方式,C i=1表示连线接通,第n-i 级输出加入反馈中;C i=0表示连线断开,第n-i级输出未参加反馈。 因此,一般形式的线性反馈逻辑表达式为 ------表达式3.1将等式左边的a n移至右边,并将a n=C0a n(C0=1)带入上式,则上式可以 写成

gold序列的生成与相关特性仿真

Gold序列生成与相关性仿真 1.1 references [1] 基于Matlab的Gold码序列的仿真与实现. [2] Code Selection for CDMA Systems. 1.2 m序列的生成原理 1.2.1生成本原多项式 利用Matlab编程环境求解本原多项式,其运行结果如表1所示.选择n=7,采用7级移位寄存器,产生的序列周期是127,其程序如下所示. N=7; %以7级寄存器为例,并组其中的一组优选对:211,,217 connections=gfprimfd(N,'all'); 表(1)n=7 本原多项式 上面的多项式中,仅有9个是独立的.因为第一行和第十行,第二行和四行,第三行和第十六行,第五行和第八行,第六行和第十四行,第七行和第十三行,第九行和第十八行,第十一行和第十二行,第十五行和第十七行是两两对称的.用八进制数表示时,所选择的本原多项式为211、217、235、367、277、325、203、313和345共9条.在这9条本原多项式中,选择一个基准本原多项式,再按要求选择另一本原多项式与之配对,构成m序列优选对,对7级m序列优选对如下表:

表(2)n=7 m序列所以优选对 1.2.2构成移位寄存器 根据产生Gold码序列的方法,从上述本原多项式中选择一对m序列优选对,以211作为基准本原多项式,217作为配对本原多项式,通过并联结构形式来产生Gold序列,生成gold 序列的结构如图(6)所示: 图(6)Gold序列生成结构 1.3 自相关函数 仿真参数及初始值设定如下:

N=7; %以7级寄存器为例,并组其中的一组优选对:211,,217 connections=gfprimfd(N,'all'); f1=connections(4,:); %取一组本原多项式序列,211 f2=connections(16,:); %取另一组本原多项式序列,217 registers1=[1 0 0 0 0 0 0];%给定寄存器的初始状态 registers2=[1 0 0 0 0 0 0];%取相同的初始状态 生成的gold 序列自相关函数如图(7)、(8)所示 图(7) Gold 序列周期自相关函数 结论:自相关函数取值集合{127,15,-1,-17} 图(8)Gold 序列非周期自相关函数 020406080100120140 gold 序列周期自相关函数 020406080100120140 -40 -20 20 40 60 80 100 120 140 gold 序列非周期自相关函数

m序列和Gold序列特性研究要点上课讲义

m序列和G o l d序列特性研究要点

Harbin Institute of Technology 扩频通信实验报告 课程名称:扩频通信 实验题目:Gold码特性研究 院系:电信学院 班级:通信一班 姓名: 学号: 指导教师:迟永钢 时间: 2012年5月8日 哈尔滨工业大学

第1章实验要求 1.以r=5 1 45E为基础,抽取出其他的m序列,请详细说明抽取过程; 2.画出r=5的全部m序列移位寄存器结构,并明确哪些序列彼此是互反多项 式; 3.在生成的m序列集中,寻找出m序列优选对,请确定优选对的数量,并画 出它们的自相关和互相关函数图形; 4.依据所选取的m序列优选对生成所有Gold序列族,确定产生Gold序列族 的数量,标出每个Gold序列族中的所有序列,并实例验证族内序列彼此的自相关和互相关特性; 5.在生成的每个Gold序列族内,明确标出平衡序列和非平衡序列,并验证其 分布关系。 6.完整的作业提交包括:纸质打印版和电子版两部分,要求两部分内容统 一,且在作业后面附上源程序,并加必要注释。 7.要求统一采用Matlab软件中的M文件实现。

第2章 实验原理 2.1 m 序列 二元m 序列是一种伪随机序列,有优良的自相关函数,是狭义伪随机序列。m 序列易于产生于复制,在扩频技术中得到了广泛应用。 2.1.1 m 序列的定义 r 级非退化的移位寄存器的组成如图1所示,移位时钟源的频率为c R 。r 级线性移位寄存器的反馈逻辑可用二元域GF(2)上的r 次多项式表示 2012() {0,1}r r i f x c c x c x c x c =++++∈L (1) 图 2-1 r 级线性移位寄存器 式(1)称为线性移位寄存器的特征多项式,其给出的表示反馈网络的而逻辑关系式是现行的。因此成为线性移位寄存器。否则称为,非线性移位寄存器。 对于动态线性移位寄存器,其反馈逻辑也可以用线性移位寄存器的递归关系式来表示 112233 {0,1}i i i i r i r i a c a c a c a c a c ----=++++∈L (2) 特征多项式(1)与递归多项式(2)是r 级线性移位寄存器反馈逻辑的两种不同种表示法,因其应用的场合不同而采用不同的表示方法。以式(1)为特征多项式

M序列的产生和性能分析

M序列的产生和性能分析 摘要 在扩频函数中,伪随机信号不但要求具有尖锐的互相关函数,互相关函数应接近于零,而且具有足够长的码周期,以确保抗侦破、抗干扰的要求;由足够多的独立地址数,以实现码分多址的要求。M序列是伪随机序列的一种,可由m序列添加全0状态而得到。m序列与M序列对比得出在同级移位寄存器下M序列的数量远远大于m序列数量,其可供选择序列数多,在作跳频和加密码具有极强的抗侦破能力。 本文在matlab中的Simulink下用移位寄存器建立了4级、5级、6级M 序列的仿真模型,进行了仿真,画出其时域图、频谱图、互相关性图。通过时域图和频域图可看出,经过扩频后的信号频带明显的被扩展;由M序列互相关性图,得出M序列有较小的互相关性,较强的自相关性,但相关性略差于m序列。最后,本文又将M序列应用于CDMA扩频通信仿真系统中,得到下列结论:当使用与扩频时相同的M序列做解扩操作与用其他序列做解扩的输出有巨大的差别。使用相同的序列进行解扩时系统输出值很大,而使用其他序列解扩时输出值在零附近变化。这就是扩频通信的基础。 关键词:伪随机编码, 扩频通信自相关函数,互相关函数

M SEQUENCE GENERATION AND PERFORMANCE ANALYSIS ABSTRACT In spread-spectrum communication, pseudo-random sequence must have high autocorrelation value, low cross correlation, long code period and lots of dependent address to satisfy code division multiple access(CDMA). M sequence is one kind of the pseudo-random sequences. It can be may obtained through adding entire 0 states to m sequence. The number of M sequence is greater than the m-sequence under the same level shift register. It may supply the more choice. The M-sequence is often applied to the frequency hopping and adds the password to have greatly strengthened anti- solves the ability. At first, M sequences which has n=4、5、7 levels of shift registers are produced under Simulink of Matlab. The time domain chart, the spectrograph, the mutual correlation chart are plotted. Through the time domain chart and the spectrograph, we could see how the bandwidth of the information signal is expanded. The pseudo-random symbol speed rate higher noise signal frequency spectrum is proliferated widely, the output power spectrum scope is lower. This can explain the spread-spectrum communication system principle from the frequency range. Through the M sequence’s auto correlation chart we can see that the auto correlation of M-sequence is quite good but is inferior to the m sequence. Finally, the M sequence is applied to the code division multiple access (CDMA) communication system. This is the spread-spectrum communication foundation. KEY WORDS:Pseudo-random code, auto-correlation, cross-correlation

M序列原理及代码

1、m序列 1.1概述 1.1.1实验原理 (1)m序列概念和用途 ①概念: m序列是由n级线性移位寄存器产生的周期为P=2^n-1的码序列,是最长线性移位寄存器序列的简称。 ②用途: 码分多址系统主要采用两种长度的m序列:一种是周期为P=2^15-1的m 序列,又称为短PN序列;另一种是周期为P=2^42-1的m序列,又称为长PN 序列。 (2)m序列的产生 ①4级m序列的码序列发生器 假设初始状态为0001,在时钟作用下,产生的m序列的状态表。 4级m序列的周期P=24-1=15,相应的输出序列为:100010011010111。

②线性移位反馈移位寄存器反馈系数Ci ③m序列特性 均衡性:在一个周期中,m序列中“1”的个数比“0”的个数多1个。 游程特性:长度为k的游程数占游程总数的1/2^k 移位相加特性:一个m序列与其循环移位逐位比较,相同码的位数与不同码的位数相差1位。 自相关特性:表征一个信号与延迟后自身信号的相似性。 ④m序列的构造——反馈线性反馈移存器

1.1.2实验意义 m序列是目前广泛应用的一种伪随机序列,在所有的伪随机序列中,m序列是最重要、最基本的一种伪随机序列。它容易产生,规律性强,有很好的自相关性和较好的互相关特性。m序列的生成是接下来的实验的基础,具有指导性的意义。 1.1.3系统的主要功能 设计本原多项式系数为13、23、103、203的m序列。 1.1.4使用方法 输入m后,输出相应的m序列。 1.2程序设计 1.2.1设计思想 由m序列的产生过程,即通过带反馈的移位寄存器产生,容易想到EDA中的结构化的程序设计思想,即以DFF触发器作为底层文件,进行顶层文件设计,获得m序列。此设计的优点是程序思路简单,结构清晰,只要做出一种反馈系数的m序列,容易得到其他反馈系数的m序列;但也存在缺点,那就是结构化的设计使得代码写的过长。

M序列产生及其特性仿真实验报告

M序列产生及其特性仿真实验报告 一、三种扩频码序列简介 1.1 m序列 它是由多级移位寄存器或其他延迟元件通过线性反馈产生的最长的码序列。 m序列的特性 1、最长周期序列:N=2n-1 2、功率平衡性:‘1’的个数比‘0’的个数多1 3、‘0’、‘1’随机分布:近似高斯噪声 4、相移不变性:任意循环移位仍是m序列,仅初相不同 5、离散自相关函数:‘0’->+1,‘1’->-1 1.2 Gold序列 Gold序列是两个等长m序列模二加的复合序列 两个m序列应是“优选对” 特点: 1、包括两个优选对m序列,一个Gold序列族中共有2n+1个Gold

序列 2、Gold序列族中任一个序列的自相关旁瓣及任意两个序列的互相关峰值均不超过两个m序列优选对的互相关峰值 1.3OVSF序列 又叫正交可变扩频因子,系统根据扩频因子的大小给用户分配资源,数值越大,提供的带宽越小,是一个实现码分多址(CDMA)信号传输的代码,它由Walsh函数生成,OVSF码互相关为零,相互完全正交。 OVSF序列的特点 1、序列之间完全正交 2、极适合用于同步码分多址系统 3、序列长度可变,不影响正交性,是可变速率码分系统的首选多址扩频码 4、自相关性很差,需与伪随机扰码组合使用 二、三种扩频码序列产生仿真 一、M序列的产生代码:

X1=1;X2=0;X3=1;X4=0; %移位寄存器输入Xi初T态(0101), Yi为移位寄存器各级输出 m=60; %置M序列总长度 for i=1:m %1# Y4=X4; Y3=X3; Y2=X2; Y1=X1; X4=Y3; X3=Y2; X2=Y1; X1=xor(Y3,Y4); %异或运算 if Y4==0 U(i)=-1; else U(i)=Y4; end end M=U %绘图 i1=i k=1:1:i1; plot(k,U,k,U,'rx') xlabel('k') ylabel('M序列') title('移位寄存器产生的M序列')

相关文档