文档库 最新最全的文档下载
当前位置:文档库 › 计算机接口与通讯实验报告

计算机接口与通讯实验报告

计算机接口与通讯实验报告
计算机接口与通讯实验报告

接口与通讯实验报告

1、8253定时/计数器实验

2、8255并行接口实验

3、数字式时钟(电子钟)

4、D/A(数/模)转换实验

5、A/D(模/数)转换实验

学生学院__计算机学院__________ 专业班级_ ___ 学号

学生姓名_ ____________ 指导教师区明明

2012年12 月17 日

计算机学院_学院计算机科学与技术专业班学号_ __ 姓名______________协作者_________ 教师评定_________________ 实验题目___8253定时/计数器实验_______________________

一、实验目的与要求

学习8253可编程定时/计数器定时方法。学习8253多级串联实现大时间常数的定时方法。学习8088/86控制8253可编程定时器的方法。

二、实验内容

用8253对标准脉冲信号进行计数,就可以实现定时功能。用板上的1MHz做为标准信号,将8253可编程计数器/定时器的时间常数设在1000000次,就可以在定时器的管脚上输出1秒钟高/1秒钟低的脉冲信号。因为8253每个计数器只有十六位,要用两个计数器才能实现一百万次的计数,实现每一秒钟输出状态发生一次反转。

1.实验电路图:

由于定时常数过大,就要用多级串联方式。本实验采用两级计数器。定时常数分别为1000和1000。将计数器1的输出接到计数器0输入。计数器0的输出接到LED0。

1.实验连线

8253既可作定时器又可做计数器:

(1)计数:GATE为高电平,输入脉冲对计数值进行减一计数

(2)定时:由CLK脉冲触发开始自动计数,到零时发计数结束定时信号3.实验程序框图

三、实验程序,要求写注释。

#define CONTROL 0xc003

#define COUNT0 0xc000

#define COUNT1 0xc001

#define COUNT2 0xc002

extern unsigned char IN(unsigned int port);

extern void OUT(unsigned int port, unsigned char v);

void main()

{

OUT(CONTROL, 0x36); /* 计数器0,16位,方式3,二进制*/

OUT(COUNT0, 10000 % 256); /* 计数器低字节*/

OUT(COUNT0, 10000 / 256); /* 计数器高字节*/

OUT(CONTROL, 0x76); /* 计数器1,16位,方式3,二进制*/

OUT(COUNT1, 100 ); /* 计数器低字节*/

OUT(COUNT1, 0); /* 计数器高字节*/

while (1) ;

}

四.思考题

若改用方式2,并改变时间常数,如何编写程序?

答:代码OUT(CONTROL, 0x36); 中的0x36改为0x35。代码OUT(CONTROL, 0x76); 中的0x76改为0x75。

计算机学院_学院计算机科学与技术专业班学号_ __ 姓名______________协作者_________ 教师评定_________________ 实验题目___8255并行接口实验_______________________

一、实验目的与要求

1、了解8255芯片结构及编程方法。

2、了解8255输入/输出实验方法。

二、实验内容

利用8255可编程并行口芯片,实现输入/输出实验,实验中用8255PA口作输出,PB口作输入。

1.实验电路

8255的CS/接地址译码/CS0,则命令字地址为8003H,PA口地址为8000H,PB口地址为8001H,PC口地址为8002H。PA0-PA7(PA口)接LED0-LED7(LED),

PB0-PB7(PB口)接K0-K7(开关量)。数据线、读/写控制、地址线、复位信号板上已接好。

2.实验连线

连接孔1 连接孔2 连接孔1 连接孔2 连接孔1 连接孔2

CS0 8255_CS L0 8255-PA0

L1 8255-PA1

L2 8255-PA2

L3 8255-PA3

L4 8255-PA4

L5 8255-PA5

L6 8255-PA6

L7 8255-PA7 K0 8255-PB0 K1 8255-PB1 K2 8255-PB2 K3 8255-PB3 K4 8255-PB4 K5 8255-PB5 K6 8255-PB6 K7 8255-PB7

可编程通用接口芯片8255A有三个八位的并行I/O口,它有三种工作方式。本实验采用的是方式0:PA,PC口输出,PB口输入。很多I/O实验都可以通过8255来实现。

4.实验程序框图

三、实验程序,要求写注释。

/* 方式0,PA,PC输出,PB输入*/

#define mode 0x82

/* Port A */

#define PortA 0x8000

/* Port B */

#define PortB 0x8001

/* Port C */

#define PortC 0x8002

/* 控制字地址*/

#define CAddr 0x8003

extern unsigned char IN(unsigned int port);

extern void OUT(unsigned int port, unsigned char v);

unsigned char i,buf;

void Delay(unsigned int CNT)

{

while (CNT)

CNT--;

}

void main()

{

while(1){

OUT(CAddr, mode); /* 输出控制字*/

/* 实验1:PortA输出*/

buf = 0x80;

for(i=0; i<8; i++){

OUT(PortA, buf); /* 输出到A端口*/

buf >>= 1; /* 移位*/

Delay(0x5000); /* 延时*/

};

/* 实验2:PortB 输入PortA 输出*/

buf = IN(PortB); /* 读入PortB */

OUT(PortA, buf); /* 输出到PortA */

Delay(0x8000);

}

}

四.试将B口与C口的功能对调(连线对调),如何编写程序?答:代码buf = IN(PortB); 改为buf = IN(PortC;

计算机学院_学院计算机科学与技术专业班学号_ __ 姓名______________协作者_________ 教师评定_________________ 实验题目___数字式时钟(电子钟)______________________

一、实验目的与要求

进一步掌握定时器的使用和编程方法。进一步掌握中断处理程序的编程方法。进一步掌握数码显示电路的驱动方法。

二、实验内容

利用CPU的定时器和实验仪上提供的数码显示电路,设计一个电子时钟。

格式如下:XX XX XX 由左向右分别为:时、分、秒

1.实验电路

定时器每100us中断一次,在中断服务程序中,对中断次数进行计数,100us计数10000次就是1秒。然后再对秒计数得到分和小时值,并送入显

示缓冲区。显示子程序模块可参照硬件实验十六和硬件实验十七。4.实验程序框图

主程序:中断服务程序

三、实验程序,要求写注释。

;

; 本实验利用8253做定时器,用定时器输出的脉冲控制8259产生中断

; 在8259中断处理程序中,对时、分、秒进行计数,在等待中断的循

; 环中用LED显示时间。

; 8253用定时器/计数器1,8253片选接CS4,地址为0C000H。8253时钟; 源CLK1接分频电路的F/64输出。分频器的Fin接4MHz时钟。8253的; GATE1接VCC。

; 8259中断INT0接8253的OUT1,片选接CS5,地址为0D000H。

; 显示电路的KEY/LED CS 接CS0,地址为08000H。

;

CONTROL equ 0c003h

COUNT0 equ 0c000h

COUNT1 equ 0c001h

COUNT2 equ 0c002h

md8255 equ 82h ; 8255 工作方式

PA8255 equ 8000h ; 8255 PA口输出地址

CTL8255 equ 8003h ; 8255 控制口地址

OUTBIT equ 08002h ; 位控制口

OUTSEG equ 08004h ; 段控制口

ICW1 equ 00010011b ; 单片8259, 上升沿中断, 要写ICW4

ICW2 equ 00100000b ; 中断号为20H

ICW4 equ 00000001b ; 工作在8086/88 方式OCW1 equ 11111110b ; 只响应INT0 中断CS8259A equ 0d000h ; 8259地址

CS8259B equ 0d001h

data segment

CNT db 0

LEDBuf db 6 dup(?) ; 显示缓冲

Num db 1 dup(?) ; 显示的数据

DelayT db 1 dup(?)

Hour db 0

Minute db 0

Second db 0

LEDMAP: ; 八段管显示码

db 3fh, 06h, 5bh, 4fh, 66h, 6dh, 7dh, 07h

db 7fh, 6fh, 77h, 7ch, 39h, 5eh, 79h, 71h data ends

code segment

assume cs:code, ds: data

Delay proc near

push ax ; 延时子程序

push cx

mov al, 0

mov cx,ax

loop $

pop cx

pop ax

ret

Delay endp

DisplayLED proc near

mov bx, offset LEDBuf

mov cl, 6 ; 共6个八段管

mov ah, 00100000b ; 从左边开始显示DLoop:

mov dx, OUTBIT

mov al, 0

out dx,al ; 关所有八段管

mov al, [bx]

mov dx, OUTSEG

out dx,al

mov dx, OUTBIT

mov al, ah

out dx, al ; 显示一位八段管

push ax

mov ah, 1

call Delay

pop ax

shr ah, 1

inc bx

dec cl

jnz DLoop

mov dx, OUTBIT

mov al, 0

out dx,al ; 关所有八段管

ret

DisplayLED endp

IEnter proc near

push ax

push dx

inc Second

mov al, Second

cmp al, 60

jne Exit

mov Second, 0

inc Minute

mov al, Minute

cmp al, 60

jne Exit

mov Minute, 0

inc Hour

mov al, Hour

cmp al, 24

jne Exit

mov Hour, 0

Exit:

mov dx, CS8259A

mov al, 20h ; 中断服务程序结束指令

out dx, al

pop dx

pop ax

iret

IEnter endp

IInit proc

mov dx, CS8259A

mov al, ICW1

out dx, al

mov dx, CS8259B

mov al, ICW2

out dx, al

mov al, ICW4

out dx, al

mov al, OCW1

out dx, al

ret

IInit endp

start proc near

mov ax, data

mov ds, ax

mov Hour, 0

mov Minute, 0

mov Second, 0

cli

mov ax, 0

mov ds, ax

mov al, 76h; 01110110B ; 计数器1,16位,方式3,二进制

mov dx, CONTROL

out dx, al

mov ax, 62500

mov dx, COUNT1

out dx, al ; 计数器低字节

mov al, ah

out dx, al ; 计数器高字节

mov al, MD8255

mov dx, CTL8255

out dx, al

mov bx, 4*ICW2 ; 中断号

mov ax, code

shl ax, 4 ; x 16

add ax, offset IEnter ; 中断入口地址(段地址为0)

mov [bx], ax

mov ax, 0

inc bx

inc bx

mov [bx], ax ; 代码段地址为0

call IInit

mov ax, data

mov ds, ax

mov CNT, 0 ; 计数值初始为0

mov al, CNT

mov dx, PA8255

out dx, al

sti

LP: ; 等待中断,并计数。

mov al, Hour

mov ah, 0

mov cl, 10

div cl

mov ch, ah

mov ah, 0

mov bx, offset LEDMAP

add bx, ax

mov al, [bx]

mov LEDBuf, al

mov bx, offset LEDMAP

mov ah, 0

add bx, ax

mov al, [bx]

or al, 80h

mov LEDBuf+1, al

mov al, Minute

mov ah, 0

mov cl, 10

div cl

mov ch, ah

mov ah, 0

mov bx, offset LEDMAP add bx, ax

mov al, [bx]

mov LEDBuf+2, al

mov bx, offset LEDMAP mov al, ch

mov ah, 0

add bx, ax

mov al, [bx]

or al, 80h

mov LEDBuf+3, al

mov al, Second

mov ah, 0

mov cl, 10

div cl

mov ch, ah

mov ah, 0

mov bx, offset LEDMAP add bx, ax

mov al, [bx]

mov LEDBuf+4, al

mov bx, offset LEDMAP mov al, ch

mov ah, 0

add bx, ax

mov al, [bx]

mov LEDBuf+5, al

call DisplayLED

jmp LP

start endp

code ends

end start

四、8251以收发时钟有何特殊要求?

答:

五、实验体会

这个实验是综合性实验,用到的知识点很多,查了不少资料才把它解决,通过这次实验,让我更加了解中断服务程序应该如何去构思,编写。所以说设置好中断向量表非常重要的。

计算机学院学院计算机科学与技术专业班学号

姓名______________协作者_________ 教师评定_________________

实验题目__D/A(数/模)转换实验______________________

一、实验目的与要求

1、了解D/A转换的基本原理。

2、了解D/A转换芯片0832的性能及编程方法。

3、了解单片机系统中扩展D/A转换的基本方法。

二、实验内容

利用DAC0832,编制程序产生锯齿波,三角波,正弦波。三种波轮流显示,用示波器观看。

1.实验电路:

2.实验连线

产生锯齿波和三角波的表格只需由数字量的增减来控制,同时要注意三角波要分段来产生。要产生正弦波,较简单的方法是造一张正弦数字量表。即查函数表得到的值转换成十六进制数填表。D/A转换取值范围为一个周期,采样点越多,精度越高些。本例采用的采样点为256点/周期。

8位D/A转换器的输入数据与输出电压的关系为

U(0∽-5V)=Uref/256×N

U(-5V∽+5V)=2·Uref/256×N-5V (这里 Uref为+5V)

4,实验程序框图

三、实验程序,要求写注释。

#define CS0832 0x8000

extern unsigned char IN(unsigned int port);

extern void OUT(unsigned int port, unsigned char v);

unsigned char SinData[128] = {

0xC0, 0xC3, 0xC6, 0xC9, 0xCC, 0xCF, 0xD2, 0xD5, 0xD8, 0xDB, 0xDE, 0xE0, 0xE3, 0xE6, 0xE8, 0xEA,

0xED, 0xEF, 0xF1, 0xF3, 0xF5, 0xF6, 0xF8, 0xF9, 0xFB, 0xFC, 0xFD, 0xFE, 0xFE, 0xFF, 0xFF, 0xFF,

0xFF, 0xFF, 0xFF, 0xFF, 0xFE, 0xFE, 0xFD, 0xFC, 0xFB, 0xF9, 0xF8, 0xF6, 0xF5, 0xF3, 0xF1, 0xEF,

0xED, 0xEA, 0xE8, 0xE6, 0xE3, 0xE0, 0xDE, 0xDB, 0xD8, 0xD5, 0xD2, 0xCF, 0xCC, 0xC9, 0xC6, 0xC3,

0xC0, 0xBC, 0xB9, 0xB6, 0xB3, 0xB0, 0xAD, 0xAA, 0xA7, 0xA4, 0xA1, 0x9F, 0x9C, 0x99, 0x97, 0x95,

0x92, 0x90, 0x8E, 0x8C, 0x8A, 0x89, 0x87, 0x86, 0x84, 0x83, 0x82, 0x81, 0x81, 0x80, 0x80, 0x80,

0x80, 0x80, 0x80, 0x80, 0x81, 0x81, 0x82, 0x83, 0x84, 0x86, 0x87, 0x89, 0x8A, 0x8C, 0x8E, 0x90,

0x92, 0x95, 0x97, 0x99, 0x9C, 0x9F, 0xA1, 0xA4, 0xA7, 0xAA, 0xAD, 0xB0, 0xB3, 0xB6, 0xB9, 0xBC,

};

void Write0832(unsigned char b)

{

OUT(CS0832,b);

}

void Delay(unsigned char CNT)

{

unsigned char I;

for (I=0; I<=CNT; I++) ;

}

void ShowSin()

{

unsigned char i;

for (i=0; i<=127; i++) {

Write0832(SinData[i]);

Delay(20);

}

}

void ShowTri()

{

unsigned char i;

for (i=0x80; i!=255; i++) {

Write0832(i);

Delay(20);

}

}

void ShowSq()

{

unsigned char i;

Write0832(0x80);

for (i=0; i<10; i++) Delay(100); Write0832(0xff);

for (i=0; i<10; i++) Delay(100); }

void ShowTrime()

{

unsigned char i;

for (i=0x80; i!=255; i++) {

Write0832(i);

Delay(20);

}

for(i;i!=0x80;i--)

{

Write0832(i);

Delay(20);

}

}

void main()

{

unsigned char i;

while (1) {

for (i=0; i<100; i++ ) ShowSin();

for (i=0; i<100; i++ ) ShowTri();

for (i=0; i<100; i++ ) ShowSq ();

for (i=0; i<100; i++ ) ShowTrime ();

}

}

四、思考题

1.如果要将其中的正弦波去掉,该怎样修改程序?

答:去掉代码:for (i=0; i<100; i++ ) ShowSin();

2.编写一个三角波。

答:void ShowTrime()

{

unsigned char i;

for (i=0x80; i!=255; i++) {

Write0832(i);

Delay(20);

}

for(i;i!=0x80;i--)

{

Write0832(i);

Delay(20);

}

}

主函数中添加for (i=0; i<100; i++ ) ShowTrime ();

计算机学院学院计算机科学与技术专业班学号

姓名______________协作者_________ 教师评定_________________ 实验题目__A/D(模/数)转换实验______________________

一、实验目的与要求

1、掌握A/D转换与单片机的接口方法。了解A/D芯片ADC0809转换性能及编程。

2、通过实验了解单片机如何进行数据采集。

二、实验内容

利用实验板上的ADC0809做A/D转换器,实验板上的电位器提供模拟量输入,编制程序,将模拟量转换成二进制数字量,用8255的PA口输出到发光二极管显示。A/D转换器大致有三类:一是双积分A/D转换器,优点是精度高,抗干扰性好;价格便宜,但速度慢;二是逐次逼近A/D转换器,精度,速度,价格适中;三是并行A/D转换器,速度快,价格也昂贵。实验用的ADC0809属第二类,即逐次逼近A/D转换器的八位A/D转换器。

1.实验电路

3.实验原理

实验用的ADC0809属第二类,是八位A/D转换器。每采集一次一般需100us。本程序是用延时查询方式读入A/D转换结果,也可以用中断方式读入结果,在中断方式下,A/D转换结束后会自动产生EOC信号,将其与CPU的外部中断相接,有兴趣的同学可以试试编程用中断方式读回A/D结果.

4.实验程序框图

三、实验程序,要求写注释。

#define mode 0x82

#define PA 0x9000

#define CTL 0x9003

#define CS0809 0x8000

extern unsigned char IN(unsigned int port);

extern void OUT(unsigned int port, unsigned char v);

unsigned char Read0809()

{

unsigned char i;

OUT(CS0809, 0); /* 起动A/D */

for (i=0; i<0x80; i++) ; /* 延时> 100us */

return(IN(CS0809)); /* 读入结果*/

}

unsigned char b;

void main(void)

{

OUT(CTL, mode);

while(1){

微机原理与接口技术实验报告

微机原理与接口技术实验报告 班级:自动化(铁道信号) 姓名: ***** 学号: 1121**** 授课教师:福恩

目录 1.实验一 (3) 2. 实验二 (8) 3.实验三 (13) 4.实验四 (22) 5.实验五 (26) 6.实验六 (33) 7.参考文献 (38)

实验一交通灯控制实验 一.实验目的 通过应用接口技术设计十字路口、复杂路口交通灯控制系统,学会应用“微机原理与接口技术”课程所学的X86汇编语言和接口技术掌握可编程并行接口芯片的硬件设计、软件编程,实现十字路口交通灯的模拟控制并思考计算机如何应用在各种控制系统中。 (1)掌握利用X86汇编语言技巧 (2)掌握X86微处理器与可编程并行接口芯片8255A硬件电路设计 (3)熟悉模拟交通灯控制的实现方法并思考如何应用在实际中。 二.实验容 设计一个交通控制系统,该控制系统工作后,交通灯按照如下规律变化: (1)南北路口的绿灯、东西路口的红灯同时亮3秒左右。 (2)南北路口的黄灯闪烁若干次,同时东西路口的红灯继续亮。 (3)南北路口的红、东西路口的绿灯同时亮3秒。 (4)南北路口的红灯继续亮、同时东西路口的黄灯亮闪烁若干次。 (5)返回(1)依次循环。 三.实验电路 如下图,L7、L6、L5作为南北路口的交通灯与PC7、PC6、PC5相连,L2、L1、L0作为东西路口的交通灯与PC2、PC1、PC0相连。编程使六个灯按交通灯变化规律燃灭。 8255动态分配地址: 控制寄存器:0EC0BH A口地址: 0EC08H C口地址: 0EC0AH

红黄绿红黄绿 图1-1 交通灯实验电路图四.程序流程图 五.源程序 CODE SEGMENT ASSUME CS:CODE ;********************************** 工作状态控制字设置 START: MOV DX,0EC0BH ;写控制端口,地址0EC0BH MOV AL,10010000B ;C口方式0输出 OUT DX,AL

通信工程专业综合实验报告..

通信工程专业综合实验 实验报告 (移动通信系统和网络协议部分) 姓名: 学号: 班级: 指导教师:

实验一:主被叫实验 一、实验目的 1、掌握移动台主叫正常接续时的信令流程。 2、了解移动台主叫时被叫号码为空号时的信令流程。 3、了解移动台主叫时被叫用户关机或处于忙状态时的信令流程。 4、了解移动台主叫时被叫用户振铃后长时间不接听的信令流程。 5、掌握移动台被叫正常接续时的信令流程。 6、掌握通话结束呼叫释放时的信令流程。 7、了解被叫用户振铃后长时间不接听时移动台被叫的信令流程。 二、实验仪器 1、移动通信实验箱一台; 2、台式计算机一台; 3、小交换机一台: 三、实验原理 处于开机空闲状态的移动台要建立与另一用户的通信,在用户看来只要输入被叫号码,再按发送键,移动台就开始启动程序直到电话拨通。实际上,移动台和网络要经许多步骤才能将呼叫建立起来。以移动台和移动台进行通信为例,就包括主叫移动台和主叫MSC建立信令链接、主叫MSC通过被叫电话号码对被叫用户进行选路,即寻找被叫所处的MSC、被叫MSC寻呼被叫MS并建立信令连接过程等三个过程。本实验主要是让学生掌握移动通信中移动台主叫时MS和MSC之间的信令过程、以及为了完成通话连接,主叫MSC和被叫MSC之间的信令过程(即七号信令中的部分消息)。 四、实验内容 1、记录正常呼叫的过程中,移动台主叫部分和被叫部分的信令流程 2、记录被叫关机时,移动台主叫部分的信令流程 3、记录被叫振铃后无应答时,移动台主叫部分和被叫部分的信令流程 4、记录被叫号码无效时,移动台主叫的信令流程 5、记录通话结束后,呼叫链路释放的信令流程 五、实验步骤 主叫实验: 1、通过串行口将实验箱和电脑连接,给实验箱上电。将与实验箱相连的电脑上的学生平台程序打开。在主界面上双击“主叫实验”图标,进入此实验界面。 2、点击“初始化”键,看到消息框中出现“初始化”完成。再点击“开机”键,从而使移动台处于开机状态。

计算机网络与通讯实验报告记录

计算机网络与通讯实验报告记录

————————————————————————————————作者:————————————————————————————————日期:

实验名称:RJ-45接口与网卡设置 一.题目 二.实验设备仪器(软件环境) ⒈RJ-45压线钳 ⒉双绞线剥线器 ⒊ RJ-45接头 ⒋双绞线 ⒌网线测试仪 ⒍网卡 三.试验目的 1.掌握使用双绞线作为传输介质的网络连接方法,学会制作RJ45接头。 2.学会测线器的使用方法。 3.学会网卡的安装与设置。 四.试验内容及步骤 1.网线制作 (1)按以下步骤制作网线(直通线): ●抽出一小段线,然后先把外皮剥除一段; ●将双绞线反向缠绕开; ●根据标准排线(注意这里非常重要); ●铰齐线头(注意线头长度); ●插入插头; ●用打线钳夹紧; ●用同样方法制作另一端。 (2)网线的检查、测试 可以使用网线测试仪或万用表测试网线连接逻辑是否正确。网线制作好后,将其两端分别插入网卡和交换机的插口内,开机后对应的指示灯应闪亮。 2.网卡的安装与设置 (1)安装网卡驱动程序 一.将网卡插入计算机主板的插槽内,启动计算机; 二.单击【开始】|【设置】|【控制面板】命令,打开【控制面板】窗口,双击【添加硬件】 图标; 三.弹出【添加硬件向导】,在设备列表中选择所用的网卡设备,插入带有网卡驱动程序的 光盘(或磁盘),按向导提示逐步安装驱动程序; 四.若安装成功,向导会给出正确的提示。

(2)网络协议的添加(此步可略) 一般情况下,安装好网卡的驱动程序以后,最基本的TCP/IP网络协议会自动被添加到系统中。但在某些特殊情况下,需要我们手动添加/删除网络协议: ●单击【开始】|【设置】|【控制面板】命令,打开【控制面板】窗口,双击【网 络连接】图标; ●打开【网络连接】窗口,选中【本地连接】图标,点击右键,在弹出菜单中选 【属性】; ●进入【属性】对话框,选【常规】项,单击【安装】按钮; ●弹出【选择网络组件类型】对话框,在【单击要安装的网络组件类型】列表中 选【协议】,单击【安装】; ●弹出【选择网络协议】对话框,在【网络协议】列表中选择所要的协议,单击 【确定】按钮。 (3)网卡的设置 网卡安装成功后,必须对其进行配置,配置前,必须到网络中心申请到合法的IP地址,并得到网络中心提供的域名及其IP地址、网关的IP地址。 (1)打开【网络连接】中“本地连接”的【属性】窗口; (2)选中【Internet协议(TCP/IP)】,单击【属性】按钮; (3)打开【Internet协议(TCP/IP)属性】窗口,分别设置“IP地址”、“子网掩码”、“默认 网关”、“DNS服务器”等项。 3.网络连通的测试 常用ping命令来测试网络连接,格式: ping [-t] [-a] [-n count] [-l length] [-f] [-i ttl] [-v tos] [-r count] [-s count] [[-j computer-list] | [-k computer-list]] [-w timeout] destination-list 参数含义 -t 校验与指定计算机的连接,直到用户中断。 -a 将地址解析为计算机名。 -n count 发送由count指定数量的ECHO 报文,默认值为 4。 -l length 发送包含由length 指定数据长度的ECHO报文。 默认值为64字节,最大值为8192 字节。 -f 在包中发送“不分段”标志,该包将不被路由上的 网关分段。 -I ttl 将“生存时间”字段设置为ttl指定的数值。 -v tos 将“服务类型”字段设置为tos指定的数值。 -r count 在“记录路由”字段中记录发出报文和返回报文的 路由。指定的Count值最小可以是1,最大可以是 9 。 -s count 指定由count指定的转发次数的时间邮票。 -j computer-list 经过由computer-list指定的计算机列表的路由报 文。中间网关可能分隔连续的计算机(松散的源路 由)。允许的最大IP地址数目是9。 -k computer-list 经过由computer-list指定的计算机列表的路由报

单片机原理及其接口技术实验报告

单片机原理及其接口技术实验指导书 实验1 Keil C51的使用(汇编语言) 一.实验目的: 初步掌握Keil C51(汇编语言)和ZY15MCU12BD型综合单片机实验箱的操作和使用,能够输入和运行简单的程序。 二.实验设备: ZY15MCU12BD型综合单片机实验箱一台、具有一个RS232串行口并安装Keil C51的计算机一台。 三.实验原理及环境: 在计算机上已安装Keil C51软件。这个软件既可以与硬件(ZY15MCU12BD型综合单片机实验箱)连接,在硬件(单片机)上运行程序;也可以不与硬件连接,仅在计算机上以虚拟仿真的方法运行程序。如果程序有对硬件的驱动,就需要与硬件连接;如果没有硬件动作,仅有软件操作,就可以使用虚拟仿真。 四:实验内容: 1.掌握软件的开发过程: 1)建立一个工程项目选择芯片确定选项。 2)加入C 源文件或汇编源文件。 3)用项目管理器生成各种应用文件。 4)检查并修改源文件中的错误。 5)编译连接通过后进行软件模拟仿真。 6)编译连接通过后进行硬件仿真。 2.按以上步骤实现在P1.0输出一个频率为1Hz的方波。 3.在2的基础上,实现同时在P1.0和P1.1上各输出一个频率同为1Hz但电平状态相反的方波。 五:程序清单: ORG 0000H AGAIN:CPL P1.0 MOV R0,#10 ;延时0.5秒 LOOP1:MOV R1,#100 LOOP2:MOV R2,#250 DJNZ R2,$ DJNZ R1,LOOP2 DJNZ R0,LOOP1 SJMP AGAIN END 六:实验步骤: 1.建立一个工程项目选择芯片确定选项 如图1-1所示:①Project→②New Project→③输入工程名test→④保存工程文件(鼠标点击保存按钮)

通信技术综合实验报告

综合实验报告 ( 2010-- 2011年度第二学期) 名称:通信技术综合实验题目:SDH技术综合实验院系:电子与通信工程系班级: 学号: 学生姓名: 指导教师: 设计周数:两周 成绩: 日期:2011年 6 月

A C B D S1 P1S1 P1 主用 备用 AC AC 环形保护组网配置实验 一、实验的目的与要求 1、实验目的: 通过本实验了解2M 业务在环形组网方式时候的配置。 2、实验要求: 在SDH1、SDH2、SDH3配置成环网,开通SDH2到SDH3两个节点间的2M 业务,并提供环网保护机制。 1)掌握二纤单向保护环的保护机理及OptiX 设备的通道保护机理。 2)掌握环形通道保护业务配置方法。采用环形组网方式时,提供3套SDH 设备,要求配置成虚拟单向通道保护环。 3)了解SDH 的原理、命令行有比较深刻,在做实验之前应画出详细的实际网络连接图,提交实验预习报告,要设计出实验实现方案、验证方法及具体的步骤。 4)利用实验平台自行编辑命令行并运行验证实验方案,进行测试实验是否成功。 二、实验正文 1.实验原理 单向通道保护环通常由两根光纤来实现,一根光纤用于传业务信号,称S 光纤;另一根光纤传相同的信号用于保护,称P 光纤。单向通道保护环使用“首端桥接,末端倒换”结构如下图所示: 业务信号和保护信号分别由光纤S1和P1携带。例如,在节点A ,进入环以节点C 为目的地的支路信号(AC )同时馈入发送方向光纤S1和P1。其中,S1光纤按ABC 方向将业务信号送至节点C ,P1光纤按ADC 方向将同样的信号作为保护信号送至分路节点C 。接收端分路节点C 同时收到两个方向支路信号,按照分路通道信号的优劣决定选其中一路作为分路信号,即所谓末端选收。正常情况下,以S1光纤送来信号为主信号。同时,从C 点插入环以节点A 为目的地的支路信号(CA)按上述同样方法送至节点A 。

兰州大学接口与通讯实验报告汇总

实验6-6 8255并行接口(LED)实验 实验说明 8255工作于方式0,此时PA, PB, PC均为可独立输入输出的并行口。8255的各寄存器对应的端口地址为: PA口:288H PB口:289H PC口:28AH 控制口:28BH 8255的片选信号为CS连138译码器的288H-28FH。由于各PC速度不同,为到达较好的实验效果,可以适当调节LED亮灭的延迟时间。 源代码: data segment ioport equ 0ff00h-0280h io8255a equ ioport+288h ;PA口 io8255b equ ioport+289h ;PB口 io8255c equ ioport+28ah ;PC口 io8255d equ ioport+28bh ;控制口 mess1 db '左循环按1',0dh,0ah,'$' ;提示信息 db '右循环按2',0dh,0ah,'$' db '间隔闪烁按3',0dh,0ah,'$' db '退出按4',0dh,0ah,'$' mess2 db '按无效键继续选择',0dh,0ah,'$' mess3 db '按任意键退回主菜单',0dh,0ah,'$' data ends stack segment sta db 20 dup(?) top equ length sta stack ends code segment assume cs:code,ds:data,ss:stack,es:data start: mov ax,data ;data段装填 mov ds,ax mov ax,stack ;stack段装填 mov ss,ax mov sp,top ;设置栈顶 mov sp,ax

微机原理与接口技术实验报告

新疆农业大学机械交通学院 实习(实验)报告纸 班级:机制072 学号: 073731234 姓名:唐伟 课程名称:微机原理及接口技术实习(实验)名称: DEBUG软件的使用 实验时间: 6.22 指导教师签字:成绩: —、实验目的 1.学习DEBUG软件的基本使用方法。 2.掌握8088/8086的寻址方式。 3.掌握数据传送、算术运算逻辑运算等类指令的基本操作。 二、实验内容与步骤 实验内容: 修改并调试以下程序,使之完成30000H开始的内存单元中存入31个先自然递增然后有自然递减的数据(00H~0F~00H)的功能。程序从CS:0100H开始存放。调试完成后程序命名为PCS.EXE并存盘。 实验步骤: (1)用A命令输入程序; (2)用反汇编U命令显示程序及目标码; 存盘程序命令为PCS1.EXE;

三、思考题 1.EXE文件程序的第一条可执行指令的IP等于多少? 答:EXE文件程序的第一条可执行指令的IP等于0010 。 2.在DEBUG环境下显示的程序和数字是什么形式?标号又是什么形式? 答: DEBUG把所有数据都作为字节序列处理。因此它可以读任何类型的文件。DEB UG可以识别两种数据: 十六进制数据和ASCⅡ码字符。它的显示格式是各个字节的十六进制值以及值在32与126之间的字节的相应ASCⅡ码字符。DEBUG总是用四位十六进制数表示地址。用两位数表示十六进制数据。不支持标号。 3.试述本次实验中你学会的DEBUG命令? 答:本次试验我学会了汇编命令(A命令)、.反汇编命令(U命令)、显示当前环境和寄存器内容(R命令、以十六进制和ASCII码形式显示内存单元内容(D命令)

光通信实验报告

竭诚为您提供优质文档/双击可除 光通信实验报告 篇一:光通信实验报告 信息与通信工程学院 光纤通信实验报告 班姓学 级:名:号: 班内序号:17 日 期:20XX年5月 一、oTDR的使用与测量 1、实验原理 oTDR使用瑞利散射和菲涅尔反射来表征光纤的特性。瑞利散射是由于光信号沿着光纤产生无规律的散射而形成。oTDR就测量回到oTDR端口的一部分散射光。这些背向散射信号就表明了由光纤而导致的衰减(损耗/距离)程度。形成的轨迹是一条向下的曲线,它说明了背向散射的功率不断减小,这是由于经过一段距离的传输后发射和背向散射的信

号都有所损耗。 给定了光纤参数后,瑞利散射的功率就可以标明出来,如果波长已知,它就与信号的脉冲宽度成比例:脉冲宽度越长,背向散射功率就越强。瑞利散射的功率还与发射信号的波长有关,波长较短则功率较强。也就是说用1310nm信号产生的轨迹会比1550nm信号所产生的轨迹的瑞利背向散射要高。 在高波长区(超过1500nm),瑞利散射会持续减小,但另外一个叫红外线衰减(或吸收)的现象会出现,增加并导致了全部衰减值的增大。因此,1550nm是最低的衰减波长;这也说明了为什么它是作为长距离通信的波长。很自然,这些现象也会影响到oTDR。作为1550nm波长的oTDR,它也具有低的衰减性能,因此可以进行长距离的测试。而作为高衰减的1310nm或1625nm波长,oTDR的测试距离就必然受到限制,因为测试设备需要在oTDR轨迹中测出一个尖锋,而且这个尖锋的尾端会快速地落入到噪音中。 菲涅尔反射是离散的反射,它是由整条光纤中的个别点而引起的,这些点是由造成反向系数改变的因素组成,例如玻璃与空气的间隙。在这些点上,会有很强的背向散射光被反射回来。因此,oTDR就是利用菲涅尔反射的信息来定位连接点,光纤终端或断点。 oTDR的工作原理就类似于一个雷达。它先对光纤发出一

串行接口实验报告

课程实验报告实验名称:串行接口 专业班级: 学号: 姓名: 同组人员: 指导教师: 报告日期:

实验二 1. 实验目的 (3) 2. 实验内容 (3) 3. 实验原理 (3) 4. 程序代码 (6) 5. 实验体会 (13)

实验二 1.实验目的 1.熟悉串行接口芯片8251的工作原理 2.掌握串行通讯接收/发送程序的设计方法 2.实验内容 通过对8251芯片的编程,使得实验台上的串行通讯接口(RS232)以查询方式实现信息在双机上的。具体过程如下: 1. 从A电脑键盘上输入一个字符,将其通过A试验箱的8251数据口发送出去,然后通过B试验箱的8251接收该字符,最后在B电脑的屏幕上显示出来。 2.从A试验箱上输入步进电机控制信息(开关信息),通过A试验箱的8251数据口发送到B试验箱的8251数据口,在B试验箱上接收到该信息之后,再用这个信息控制B试验箱上的步进电机的启动停止、转速和旋转方向。 3.实验原理 1.8251控制字说明 在准备发送数据和接收数据之前必须由CPU把一组控制字装入8251。控制字分两种:方式指令和工作指令,先装入方式指令,后装入工作指令。 另外,在发送和接收数据时,要检查8251状态字,当状态字报告“发送准备好”/“接收准备好”时,才能进行数据的发送或接收。 2.8251方式指令(端口地址2B9H)

3.8251工作指令(端口地址2B9H) 4.8251状态字(端口地址2B9H) 5.8253控制字(283H) 6.8253计数初值(283H) 计数初值=时钟频率/(波特率×波特率因子)本实验:脉冲源=1MHz 波特率=1200 波特率因=16 计数初值= 1000000/1200*16=52

微机原理及接口技术实验报告

实验一 DEBUG命令及其基本操作 一.实验目的: 1.熟练掌握DEBUG的常用命令,学会用DEBUG来调试程序。 2.深入了解数据在存储器中的存取方法,了解堆栈中数据的压入与弹出方法。 3.掌握各种寻址方法,了解简单指令的执行过程。 二、实验容: 1.打开计算机,在WINXP操作系统下,点击“开始”,选择“运行”,在“打开”一栏中输入“debug”,运行DEBUG应用程序,将出现DEBUG的提示符“-”。 2.在DEBUG提示符后键入字母“-a100”,回车后,屏幕上就会出现下面类似的容: (具体到每个计算机所产生的段地址和偏移地址可能有所不同) 这表示在地址1383:0100处,DEBUG等待用户键入程序助记符,下面键入程序: 3.在DEBUG的提示符后键入G,这是执行程序的命令,然后回车,就可以看到结果了。 4.现在让我们用“d”命令来看一下存储器 在100H~107H这8个单元,程序覆盖了原来的容,右边的字符是组成程序的ASCII码等价字符,圆点表示不可显示字符。 5.下面我们用反汇编命令来查看一下所键入的程序。“U”命令的格式是“U起始地址,终止地址”,即把起始地址到终止地址之间的十六进制码反汇编成汇编语言助记符语句。键入: 大家在屏幕上不仅可以看到地址和助记符,而且还可以看到与汇编语言等价的机器语言。6.现在我们修改存储单元的容,看看显示情况和变化。 我们看到显示的结果发生了变化,这是因为DOS的2号功能调用是显示输入数据的ASCII 码字符。 7.下面我们给程序命名并存盘。在键入“n”后紧跟程序名及扩展名

因为文件的字节数必须事先放在BX和CX中,其中BX保存高16位,CX保存低16位,整个32位的数保存文件的字节数,一般来说,BX设置为0,因为CX可存放的字节数为64K,对于一般的程序已足够了。 8.结束后可用Q命令退出DEBUG程序。 三、实验习题 1.写出完成下列功能的指令序列 (1)传送20H到AL寄存器 (2)将(AL)*2 (3)传送25H到BL寄存器 (4)(AL)*(BL) 问最后的结果(AX)=? 单步执行结果: 2.分别写出完成下列指令要求的指令: (1)将BX的低4位清零; (2)将AX的高4位置1; (3)将DX的低4位取反; (4)将CX的中间八位清零; (5)将AX中与BX中的对应位不相同的位均置1.

计算机组成原理与接口技术实验报告

计算机组成原理与接口技术 课程设计实验报告 学院:计算机科学与工程 专业:计算机科学与技术 班级:计科二班 学号: 姓名: 指导老师: 评分: 2016年12月28日

实验一验证74LS181运算和逻辑功能 1、实验目的 (1)掌握算术逻辑单元(ALU)的工作原理; (2)熟悉简单运算器的数据传送通路; (3)画出逻辑电路图及布出美观整齐的接线图; (4)验证4位运算功能发生器(74LS181)组合功能。 2、实验原理 ALU能进行多种算术运算和逻辑运算。4位ALU-74LS181能进行16种算术运算和逻辑运算。 74ls181芯片介绍: 该芯片总共由22个引脚,其中包括8个数据 输入端(~A0、~A1、~A2、~A3,~B0、~B1、~B2、 ~B3,其中八个输入端中A3和B3是高位),这八 个都是低电平有效。还包括S0、S1、S2、S3这四 个控制端,这四个控制端主要控制两个四位输入 数据的运算,例如加、减、与、或。CN端处理进 入芯片前进位值,M控制芯片的运算方式,包括 算术运算和逻辑运算。F0、F1、F2、F3是四个二 进制输出端,以一个四位二进制形式输出运算的 结果。CN4记录运算后的进位。

3、实验内容 实验电路图:

4、总结及心得体会 本实验通过一个设计一个简单的运算器,使我熟悉了Multisim软件的一些基本操作方法,并掌握了一些简单的电路设计与分析的能力,并对我做下一个运算器的实验有一定的帮助。因为是之前实验课做过的实验,再次做起来过程比较流畅,没有遇到什么大的问题,实验的测试结果与预期的一致。 该芯片总共由22个引脚,其中包括8个数据输入端(~A0、~A1、~A2、~A3,~B0、~B1、~B2、~B3,其中八个输入端中A3和B3是高位),这八个都是低电平有效。还包括S0、S1、S2、S3这四个控制端,这四个控制端主要控制两个四位输入数据的运算,例如加、减、与、或。CN端处理进入芯片前进位值,M控制芯片的运算方式,包括算术运算和逻辑运算。F0、F1、F2、F3是四个二进制输出端,以一个四位二进制形式输出运算的结果。CN4记录运算后的进位。其中AEQB、~P和~G这三个端口与本实验无关,所以这里不做额外介绍。

通信技术实训报告

南昌工程学院 《通信技术》实训报告 系院信息工程学院 专业通信工程 班级 学生姓名 学号 实习地点 指导教师 实习起止时间:2014 年 6 月9 日至2014 年6 月20 日

目录 一、实训时间 (3) 二、实训地点 (3) 三、实训目的 (3) 四、实训情况简介 (3) 五、实训内容 (4) 六、实训小结或体会 (10)

一、实训时间:从2014 年6 月9 日至2014年6 月20 日 二、实训地点: 三、实训目的 通过本实训了解2M业务在点对点组网方式时候的配置。通过本实训了解2M业务在链型组网方式时候的配置。通过本实训了解2M业务在环形组网方式时候的配置。通过本次实训了解MGW及MSCS数据配置。 SDH技术的诞生有其必然性,随着通信的发展,要求传送的信息不仅是话音,还有文字、数据、图像SDH技术和视频等。加之数字通信和计算机技术的发展,在70至80年代,陆续出现了T1(DS1)/E1载波系统(1.544/2.048Mbps)、X.25帧中继、ISDN(综合业务数字网) 和FDDI(光纤分布式数据接口)等多种网络技术。随着信息社会的到来,人们希望现代信息传输网络能快速、经济、有效地提供各种电路和业务,而上述网络技术由于其业务的单调性,扩展的复杂性,带宽的局限性,仅在原有框架内修改或完善已无济于事。SDH就是在这种背景下发展起来的。在各种宽带光纤接入网技术中,采用了SDH技术的接入网系统是应用最普遍的。SDH的诞生解决了由于入户媒质的带宽限制而跟不上骨干网和用户业务需求的发展,而产生了用户与核心网之间的接入"瓶颈"的问题,同时提高了传输网上大量带宽的利用率。SDH技术自从90年代引入以来,至今已经是一种成熟、标准的技术,在骨干网中被广泛采用,且价格越来越低,在接入网中应用可以将SDH技术在核心网中的巨大带宽优势和技术优势带入接入网领域,充分利用SDH 同步复用、标准化的光接口、强大的网管能力、灵活网络拓扑能力和高可靠性带来好处,在接入网的建设发展中长期受益。我们了解SDH的光传输及MGW及MSCS数据配置对我们加深理论知识理解有帮助。 四、实训情况简介 经学校安排, 于2014年6月9号至2014年6月20号期间在电子信息楼B404进行了实训,实训工作内容为SDH点对点组网配置实验,SDH链型组网配置实验,SDH环形组网配置实验以及MGW和MSCS数据配置实验。现总结如下: 实验进行了两周,第一周是移动数据配置,第二周是光传输实验,每次老师先给我们讲解原理,还有注意事项等,我了解了原理之后按照指导书在配置环境下按指导书配置。配置

串口通信实验报告全版.doc

实验三双机通信实验 一、实验目的 UART 串行通信接口技术应用 二、实验实现的功能 用两片核心板之间实现串行通信,将按键信息互发到对方数码管显示。 三、系统硬件设计 (1)单片机的最小系统部分 (2)电源部分 (3)人机界面部分

数码管部分按键部分 (4)串口通信部分 四、系统软件设计 #include #define uchar unsigned char #define uint unsigned int void send(); uchar code0[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f};//0-9的数码管显示 sbit H1=P3^6; sbit H2=P3^7;

sbit L1=P0^5; sbit L2=P0^6; sbit L3=P0^7; uint m=0,i=0,j; uchar temp,prt; /***y延时函数***/ void delay(uint k) { uint i,j; //定义局部变量ij for(i=0;i

{ m=1; //KEY1键按下 return(m); } if(H2==0) { m=4; //KEY4键按下 return(m); } } } if(L2==0) { delay(5); if (L2==0) { L2=0;H1=1;H2=1; if(H1==0) { m=2; //KEY2键按下 return(m); } if(H2==0) { m=5; //KEY5键按下 return(m); } } } if(L3==0) { delay(5); if (L3==0) { L3=0;H1=1;H2=1; if(H1==0) { m=3; //KEY3键按下

微机原理与接口技术实验报告

微机原理与接口技术实验报告

2

3

实验一:数据传送 实验学时:2 实验类型:验证 实验要求:必修 一.实验目的 1.学习程序设计的基本方法和技能,掌握用汇编语言设计、编写、调试和运行程序的方法; 学习用全屏幕编辑软件QEDIT.EXE建立源程序(.ASM文件); 学习用汇编软件MASM.EXE对源文件汇编产生目标文件(.OBJ文件); 学习用连接程序LINK.EXE对目标文件产生可执行文件(.EXE文件); 学习用调试软件TD.EXE调试可执行文件; 2.掌握各种寻址方法以及简单指令的执行过程。 二.实验器材 PC机 三.实验组织运行要求 1.利用堆栈实现AX的内容与BX的内容进行交换。堆栈指针SP=2000H,AX=3000H,BX=5000H; 2.汇编、调试、观察、记录结果; ⑴用QEDIT.EXE软件输入汇编语言源程序,以.ASM格式文件存盘; ⑵用MASM对源程序进行汇编产生二进制目标文件(.OBJ文件),再用连接程序LINK产生可执行文件(.EXE文件); ⑶用调试软件TD调试、运行程序,观察、记录结果。 四.实验步骤 1.进入子目录E:>\SY86后,利用QEDIT.EXE(简称Q)送入以下汇编语言源程序,并以M1.ASM文件存盘 ⑴汇编语言程序的上机过程 ①进入\SY86子目录 E:>CD\SY86 E:\SY86> ②进入QEDIT.EXE 编辑界面 E:\SY86> Q ③输入文件名*.ASM(如M1.ASM)后,输入源程序 源程序 DATA SEGMENT PARA PUBLIC’DATA’ ;数据段定义 DB 512 DUP(0) DATA ENDS STACK SEGMENT PARA STACK’STACK’ ;堆栈段定义 DB 512 DUP( ?) 4

RFID通讯技术实验报告

RFID通讯技术试验 专业: 物流工程 班级: 物流1201 学生: 学号: 指导教师:

一.前言 射频识别(RFID)是一种无线通信技术,可以通过无线电讯号识别特定目标并读写相关数据,而无需识别系统与特定目标之间建立机械或者光学接触。 无线电的信号是通过调成无线电频率的电磁场,把数据从附着在物品上的标签上传送出去,以自动辨识与追踪该物品。某些标签在识别时从识别器发出的电磁场中就可以得到能量,并不需要电池;也有标签本身拥有电源,并可以主动发出无线电波(调成无线电频率的电磁场)。标签包含了电子存储的信息,数米之内都可以识别。与条形码不同的是,射频标签不需要处在识别器视线之内,也可以嵌入被追踪物体之内。 许多行业都运用了射频识别技术。将标签附着在一辆正在生产中的汽车,厂方便可以追踪此车在生产线上的进度。仓库可以追踪药品的所在。射频标签也可以附于牲畜与宠物上,方便对牲畜与宠物的积极识别(积极识别意思是防止数只牲畜使用同一个身份)。射频识别的身份识别卡可以使员工得以进入锁住的建筑部分,汽车上的射频应答器也可以用来征收收费路段与停车场的费用。 某些射频标签附在衣物、个人财物上,甚至于植入人体之内。由于这项技术可能会在未经本人许可的情况下读取个人信息,这项技术也会有侵犯个人隐私忧患。 二.实验目的 1. 了解RFID相关知识,了解RFID模块读写IC卡数据的原理与方法(电子钱包试验); 2. 模拟企业生产线上的物料跟踪情况,掌握RFID的应用(企业物流采集跟踪系统演示)。 三.实验原理 1. 利用RFID模块完成自动识别、读取IC卡信息,实现RFID电子钱包的

功能,给IC卡充值、扣款(电子钱包试验); 2.利用4个RFID模块代替4个工位,并与软件系统绑定(添加,删除),由IC卡模拟物料的移动,并对物料在生产线上所经过的工位的记录进行查询,而且可以对物料的当前工位定位。 四.实验设备 《仓库状态数据检测开发系统》试验箱、IC卡、、锂电池、ZigBee通讯模块、RFID阅读器,ID卡、条码扫描器。 五.实验过程 电子钱包试验 (1)先用电源线将试验箱连上电源,打开电源开关,然后打开Contex-A8电源开关,如错误!未找到引用源。所示。 (a)(b) 图 1 连上电源 (2)将RFID模块下方的开关拨至ON位置,给RFID模块上电,LED5灯会红色常亮。 (3)将RFID模块下方的4位拨码开关1234 在编号1、2、3中选择一个拨到上侧,同时保证该选择的编号在ZigBee、IPV6、 Bluetooth下方的拨码开关中没有拨到拨到上侧,否则会起冲突(例 如,RFID模块下方的拨码开关选择1拨到上侧,那么ZigBee、IPV6、

接口实验报告

接口与通讯实验报告 实验一可编程定时计数器8253 一、实验目的 掌握8253的基本工作原理和编程方法。 二、实验内容 按图16虚线连接电路,将计数器0设置为方式0,计数器初值为N(N≤0FH),用手动逐个输入单脉冲,编程使计数值在屏幕上显示,并同时用逻辑笔观察OUT0电平变化(当输入N+1个脉冲后OUT0变高电平)。 三、编程提示 8253控制寄存器地址283H 计数器0地址280H 计数器1地址281H CLK0连接时钟1MHZ 四·实验原理图 五、实验流程图

六实验代码及注释 ioport equ 0ff00h-0280h;定义宏 io8253a equ ioport+283h io8253b equ ioport+280h code segment assume cs:code start :mov al,10h mov dx,io8253a;输入端口地址 out dx,al;延时 mov dx,io8253b mov al,0fh;初始化 out dx,al;延时 l: in al,dx call disp;调用子程序 push dx;入栈 mov ah,06h mov dl,0ffh int 21h pop dx;出栈 jz l;转l mov ah,4ch;已完,退出 int 21h disp proc near;定义范围为段内push dx and al,0fh;初始化al mov dl,al cmp dl,9;比较

jle num add dl,7 num : add dl,30h mov ah,02h int 21h mov dl,0dh int 21h mov dl,0ah int 21h pop dx ret disp endp code ends end start 七.实验报告 1.8255简介 8255是Intel公司生产的可编程并行I/O接口芯片,有3个8位并行I/O口。具有3个通道3种工作方式的可编程并行接口芯片(40引脚)。其各口功能可由软件选择,使用灵活,通用性强。8255可作为单片机与多种外设连接时的中间接口电路。 8255作为主机与外设的连接芯片,必须提供与主机相连的3个总线接口,即数据线、地址线、控制线接口。同时必须具有与外设连接的接口A、B、C口。由于8255可编程,所以必须具有逻辑控制部分,因而8255内部结构分为3个部分:与CPU连接部分、与外设连接部分、控制部分。 2.8255外部特性及引脚功能 RESET:复位输入线,当该输入端处于高电平时,所有内部寄存器(包括控制寄存器)均被清除,所有I/O口均被置成输入方式。 CS:芯片选择信号线,当这个输入引脚为低电平时,即/CS=0时,表示芯片被选中,允许8255与CPU进行通讯;/CS=1时,8255无法与CPU做数据传输. RD:读信号线,当这个输入引脚为低跳变沿时,即/RD产生一个低脉冲且/CS=0时,允许8255通过数据总线向CPU发送数据或状态信息,即CPU从8255读取信息或数据。 WR:写入信号,当这个输入引脚为低跳变沿时,即/WR产生一个低脉冲且/CS=0时,允许CPU将数据或控制字写入8255。

8086软硬件实验报告(微机原理与接口技术上机实验)

实验一实验环境熟悉与简单程序设计 实验目的 (1)掌握DEBUG调试程序的使用方法。 (2)掌握简单程序的设计方法。 实验内容 编程将BH中的数分成高半字节和低半字节两部分,把其中的高半字节放到DH中的低4位(高4位补零),把其中的低半字节放到DL中的低4位(高4位补零)。如: BH=10110010B 则运行程序后 DH=00001011B DL=00000010B 实验准备 (1)熟练掌握所学过的指令。 (2)根据实验内容,要求预先编好程序。 实验步骤 (1)利用DEBUG程序输入、调试程序。 (2)按下表要求不断地修改BH的内容,然后记录下DX的内容。 实验报告 (1)给出程序清单。 (2)详细说明程序调试过程。

程序: CODE SEGMENT START : MOV BH,00111111B MOV AL,BH MOV CL,4 SHR AL,CL MOV DH,AL MOV AL,BH AND AL,00001111B MOV DL,AL MOV CL,0 CODE ENDS END START

实验二简单程序设计 实验目的 (3)掌握DEBUG调试程序的使用方法。 (4)掌握简单程序的设计方法。 实验内容 试编写一个汇编语言程序,要求实现功能:在屏幕上显示:Hello world My name is Li Jianguo 参考程序如下:(有错) data segment out1 db 'Hello world' ax db 'My name is Li Jianguo' data ens code segment assume cs:code;ds:data lea dx,out1 mov ah,2 int 21h mov dl,0ah mov ah,2

汇编程序及接口技术实验报告

微机汇编程序及接口技术实验报告 汇编程序实验: 一、实验目的 1、熟悉汇编程序调试过程 2、掌握算术运算指令运用 3、掌握分支程序的编程和调试方法 二、实验设备 80X86微型计算机 三、实验内容 1、编程并调试显示“Hello Word!”字符串的汇编程序 TITLE HELLO DA TA SEGMENT STR DB'Hello World!$' DA TA ENDS CODE SEGMENT ASSUME DS:DATA,CS:CODE START:MOV AX,DATA MOV DS,AX MOV DX,OFFSET STR MOV AH,9H INT 21H MOV AH,4CH INT 21H CODE ENDS END START

2、A、B、C、D、W是互不相等的在数据段中定义的16位有符号数,并假设加减运算不产生溢出。编写一个完整段定义的汇编语言程序,计算W=(A+B)×(C—D)。 title asmprogram1_1 DA TA SEGMENT A DW 1H B DW 3H C DW 4H D DW 2H W DW 2 DUP(?) DA TA ENDS ; CODE SEGMENT ASSUME DS:DATA,CS:CODE START:MOV AX,DATA MOV DS,AX MOV AX,A ADD AX,B MOV BX,C SUB BX,D IMUL BX MOV W,AX MOV W+2,DX MOV AH,4CH INT 21H CODE ENDS END START

3、设X、Y为在数据段中定义的有符号字变量。编写一个完整段定义的汇编语言程序(包含必要的伪指令,给出必要的注释)完成以下操作:若0

RFID通讯技术实验报告

· RFID通讯技术试验 专业: 物流工程 班级: 物流1201 学生: 学号: 指导教师:

一.前言 射频识别(RFID)是一种无线通信技术,可以通过无线电讯号识别特定目标并读写相关数据,而无需识别系统与特定目标之间建立机械或者光学接触。 无线电的信号是通过调成无线电频率的电磁场,把数据从附着在物品上的标签上传送出去,以自动辨识与追踪该物品。某些标签在识别时从识别器发出的电磁场中就可以得到能量,并不需要电池;也有标签本身拥有电源,并可以主动发出无线电波(调成无线电频率的电磁场)。标签包含了电子存储的信息,数米之都可以识别。与条形码不同的是,射频标签不需要处在识别器视线之,也可以嵌入被追踪物体之。 许多行业都运用了射频识别技术。将标签附着在一辆正在生产中的汽车,厂方便可以追踪此车在生产线上的进度。仓库可以追踪药品的所在。射频标签也可以附于牲畜与宠物上,方便对牲畜与宠物的积极识别(积极识别意思是防止数只牲畜使用同一个身份)。射频识别的身份识别卡可以使员工得以进入锁住的建筑部分,汽车上的射频应答器也可以用来征收收费路段与停车场的费用。 某些射频标签附在衣物、个人财物上,甚至于植入人体之。由于这项技术可能会在未经本人许可的情况下读取个人信息,这项技术也会有侵犯个人隐私忧患。 二.实验目的 1. 了解RFID相关知识,了解RFID模块读写IC卡数据的原理与方法(电子钱包试验);

2. 模拟企业生产线上的物料跟踪情况,掌握RFID的应用(企业物流采集跟踪系统演示)。 三.实验原理 1. 利用RFID模块完成自动识别、读取IC卡信息,实现RFID电子钱包的功能,给IC卡充值、扣款(电子钱包试验); 2.利用4个RFID模块代替4个工位,并与软件系统绑定(添加,删除),由IC卡模拟物料的移动,并对物料在生产线上所经过的工位的记录进行查询,而且可以对物料的当前工位定位。 四.实验设备 《仓库状态数据检测开发系统》试验箱、IC卡、、锂电池、ZigBee通讯模块、RFID阅读器,ID卡、条码扫描器。 五.实验过程 5.1电子钱包试验 (1)先用电源线将试验箱连上电源,打开电源开关,然后打开Contex-A8电源开关,如图1所示。

相关文档
相关文档 最新文档