文档库 最新最全的文档下载
当前位置:文档库 › 数控 分 频 器

数控 分 频 器

数控 分 频 器
数控 分 频 器

EDA实验报告

实验三数控分频器

实验目的

1.掌握数控分频器的工作原理并能够用virlog语言编写代码,熟悉EDA6000实验箱的使

用方法。

2.进一步熟悉quartusII建立程序编译、仿真及下载的操作流程并学会数控分频器的Verilog

硬件设计

实验步骤

1.新建Verilog工程,编写代码并保存至与模块名对应的文件夹。注意:项目应存为系统

盘以外的盘内,路径中不含中文字符。

2.编译程序,编译无误后,在【tools】里面选择RTL视,观察电路结构。

3.新建波形文件进行仿真。保存时要和源程序存放在同一目录下。设置好输入波形参数后,

开始仿真。在仿真后输入输出波形中观察逻辑关系是否正确。

4.将实验箱和PC合理连接起来。打开EDA6000软件,设置好芯片类型为ACEX1K(EP1K30

TC144-3),载入模式9.

5.根据EDA6000界面内管脚对应芯片的实际管脚在QUARTUSII里面设定管

脚号并检查无误。

6.将程序下载至FPGA内,并在EDA6000软件界面内进行验证测试。

程序代码

module divf(clk,din,pfull,clkout);

input clk;

input [7:0] din;

output clkout,pfull;

reg full,divclk;

reg [7:0] count;

always @(posedge clk) begin

if(count==8'HFF) begin

count<=din;

full<=1; end

else if(count<255) begin

count<=count+8'B1;

full<=0; end

end

always @(posedge full) begin

divclk<=~divclk; end

assign clkout=divclk;

assign pfull=full; endmodule

编译结果

RTL

仿真设置

仿真结果

根据试验箱指定管脚

连接至EDA6000实验箱

注射器的妙用

注射器的妙用 注射器是一种常见的医疗用具,早在15世纪,意大利人卡蒂内尔就提出注射器的原理。主要用以注射药液或抽液。注射器由前端带有小孔的针筒以及与之匹配的活塞芯杆组成。注射器用来将少量的液体或其注入到其它方法无法接近的区域或者从那些地方抽出。在芯杆拔出的时候液体或者气体从针筒前端小孔吸入,在芯杆推入时将液体或者气体挤出。用注射器以及针头抽取或者注入气体或者液体的这个过程叫作注射。 一、可以取用一定量的液体和气体 二、利用注射器活塞的移动改变容量的大小,达到测定液体或气体的体积。 三、利用注射器活塞的移动改变容量的大小,达到改变其他装置内气体的压强。 (1)甲图实验中,装____的注射器容易被压缩.医用注射器具有:密封性较好;透明、有刻度便于观察;价格便宜,容易得到的特点和优点,可以根据注射器的特点和优点加以系统的归纳、研究,并且有意识地利用这些特点和优点,进行精心的设计,就可以做许多实验,做到一物多用,充分发挥注射器在化学实验中的作用。 1、验证分子之间有间隔 如下图所示:在两个大小相同的注射器中分别抽取等体积的空气和红墨水 (图一)。

然后用手指堵住注射器的前端出口,向前推动活塞压缩注射器管筒中的物质(图二),会发现装空气的注射器的活塞容易向前推动,而装红墨水的注射器的活塞很难推动。该实验不仅能说明分子之间有间隔,而且还能说明气体分子间的间隔比液体分子之间的间隔大,因此易压缩。生活中常见此类现象有将氧气、石 油气压缩储存在钢瓶中。 2、方便添加液体 人教版九年级化学课本P43习题5,利用图一中的仪器组装制取氧气的装置,注射器就可以用来随时添加过氧化氢溶液。图二是组装好的利用二氧化锰催化过氧化氢分解的发生装置,注射器不仅方便随时添加过氧化氢溶液,而且能通过推动活塞的速率来控制反应的速率,得到平稳的氧气流。 3、电解水实验 如下图所示,把去掉活塞的两个注射器装满水放在碳棒上,通电后就可以发现负极的管筒先收集满气体,且利用管筒上的刻度可观察到两种气体的体积比。收集好气体后把注射器取出迅速安装上活塞。检验氢气的时候,将针放到酒精灯火焰上烧至发红,移开,迅速推动活塞,就可观察到气体被点燃发出蓝色火焰的现象;检验氧气时,把带火星的木条放到针孔处,推动活塞就可观察到木条复燃 的现象。

联轴器分类及简介

联轴器分类及简介联轴器分类及简介 DT型刚性凸缘联轴器

JQ型夹壳联轴器 标定 d D L L1 L2 a1 a2 d2 h h1 f b t 重量符号 JQ-30 30 102 130 20 55 4 5 25 70 45 0.4 8 26 4.5 JQ-35 35 118 162 20 71 4 5 30 85 55 0.6 10 30 8 JQ-40 40 118 162 20 71 4 5 35 85 55 0.6 12 35 7.5 JQ-45 45 135 190 24 83 5 6 37 100 70 0.6 14 39.5 11 JQ-50 50 135 190 24 83 5 6 42 100 70 0.6 14 44.5 10.5 JQ-55 55 135 190 24 83 5 6 47 100 70 1 16 49 10 JQ-65 65 172 250 30 110 6 8 55 130 100 1 18 58 25 JQ-70 70 172 250 30 110 6 8 60 130 100 1 20 62.5 25 JQ-80 80 185 280 38 121 8 10 70 145 110 1 22 71 30 JQ-90 90 230 330 38 146 8 10 80 170 140 1 25 81 56 JQ-95 95 230 330 38 146 8 10 85 170 140 1 25 86 53 JQ-100 100 230 330 38 146 8 10 90 170 140 1 28 90 51 JQ-110 110 260 390 46 172 10 12 100 200 160 1 28 100 90 JQ-130 130 280 440 54 193 12 14 118 225 180 1 32 119 125 JQ-160 160 340 500 64 218 14 16 144 255 200 1 40 147 215

分频器设计实验报告

竭诚为您提供优质文档/双击可除分频器设计实验报告 篇一:n分频器分析与设计 一、实验目的 掌握74190/74191计数器的功能,设计可编程计数器和n分频器,设计(n-1/2)计数器、分频器。 二、实验原理 分频是对输入信号频率分频。1、cD4017逻辑功能 2、74190/74191逻辑功能 3、集成计数器级联 当所需计数器模数超过所选计数器最大计数状态时,需要采取多片计数器级联。方法分为异步级联和同步级联。4、集成计数器的编程 在集成计数器的时序基础上,外加逻辑门电路等,反馈集成计数器的附加功能端,达到改变计数器时序的目的。可采用复位编程和置数编程两种。5、多片74190/74191计数器级联 可根据具体计数需求和增减需求,选用74190或74191,

选择不同功能、同步或异步设计等。 6、74190/74191计数器编程 由于没有复位端,因此只能使用置数编程,置数端置为0即可异步置数。可根据需求设计n进制加法或减法计数器。 n与译码逻辑功能如下。 7、74191组成(n-1/2)分频器电路如下图: u3 计数器的两个循环中,一个循环在cp的上升沿翻转;另一个是在cp的下降沿翻转,使计数器的进制减少1/2,达到(n-1/2)分频。 三、实验仪器 1、直流稳压电源1台 2、信号发生器1台 3、数字万用表1台 4、实验箱1台 5、示波器1台 四、仿真过程 1、按照cD4017和74191功能表验证其功能。 2、74191组成可编程计数器 (1)构成8421bcD十进制加法计数器,通过实验验证正确性,列出时序表。设计图如下 仿真波形如下 (2)构成8421bcD十进制减法计数器,通过实验验证正确性,列出时序表。设计图如下: 仿真波形如下

基于VHDL数控分频器设计

目录 一、设计任务与要求 (3) 二、总体框图 (3) 三、选择器件 (4) 四、功能 (5) 1、数控分频器 (5) 2、BCD译码器 (6) 3、扫描器 (11) 4、3-8译码器 (13) 5、7段数码管显示译码器 (16) 五、总体设计电路图 (19) 1总体(顶层)电路原理图 (19) 2仿真结果 (19) 3管脚分配图 (20) 4.硬件连接情况 (20) 六.心得体会 (20)

数控分频器设计 一、设计任务与要求 数控分频器的功能就是当输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比,以实现所需的输出频率。 基本功能: 1、实现8位分频器,依据输入的8位2进制数的不同,有不同的分频比。如输入10010000,即对输入的时钟信号进行144分频,如输入01001000,即对输入的时钟信号进行72 分频。 2、输出的波形应为占空比位50%的方波。 3、有显示功能,显示当前的分频比,即,输入的二进制数的大小。 总体框图 设计思路:数控分频器用计数值可并行预置的加法器设计完成,当在输入端给定不同输入数据时将对输入的时钟信号有不同的分频比。把输入端输入的八位二进

制数直接通过BCD译码器转换为十位BCD码表示的十进制数,通过扫描器对3个数码管进行选通扫描,最后7段数码管显示译码器对选中数码管的赋值进行译码,并驱动数码管显示该数据。 模块的功能: 1、数控分频器:实现8位分频器,依据输入的8位2进制数的不同,有不同的分频比。如输入10010000,即对输入的时钟信号进行144分频。 2、BCD译码器:把输入端的8位2进制数转换成10位BCD码表示的十进制数。 3、扫描器:when “000”=>daout<=dout(3 downto 0); when “001”=>daout<=dout(7 downto 4); when “010”=>daout<=dout(3 downto 2)<="00"; daout(1 downto 0)<=dout(9 downto 8); when others=>null; 4、3-8译码器:当sel=0时,q=11111110;选择个位数码管亮。 当sel=1时,q=11111101;选择十位数码管亮。 当sel=2时,q=11111011;选择百位数码管亮。 5、7段数码管显示译码器:把BCD码表示的十进制数转换成驱动数码管显示的段信号,使数码管显示数字。 三、选择器件 1、装有QuartusII软件的计算机一台。 2、芯片:使用altera公司生产的Cyclone系列芯片,如EP1C12Q240C8芯片 。 此次设计实验采用ALTERA公司的cyclone系列的FPGA芯片EP1C12,设计和仿真采用ALTERA公司的QUARTUS II软件,EP1C12各项参数参照上表。 Cyclone的性能特性 (1)、新的可编程体系结构,实现低成本设计。

卷筒联轴器相关参数

WZL 卷筒联轴器 卷筒联轴器主要性能和尺寸 型号 WCL 01 WCL 02 WCL 03 WCL 04 WCL 05 WCL 06 WCL 07 WCL 08 WCL 09 WCL 10 WCL 11 WCL 12 WCL 13 WCL 14 WCL 15 WCL 16 WC L1 7 WCL 18 公称扭 矩 KN.m 6.3 9.0 12.5 16 20 25 40 63 80 125 200 315 400 500 630 800 1120 149 传 递 扭 矩 KN .M 工 作 级 别 M3 6.3 9.0 12.5 16 20 25 40 63 80 125 200 315 400 500 630 800 1120 149 0 M4 5.6 8.0 11.2 14 18 22.4 35.5 56 71 112 180 280 355 450 560 710 1000 138 0 M5 5.0 7.1 10.0 12.5 16 20 31.5 50 63 100 160 250 315 400 500 630 900 1250 M6 4.5 6.3 9.0 11.2 140 18 28 45 56 90 140 224 280 355 450 560 800 112 0 M7 4.0 5.6 8.0 10.0 12.05 16 25 40 90 80 125 200 250 315 400 500 710 100 0 M8 3.55 5.0 7.1 9.0 11.2 14 22.4 35.5 45 71 112 180 224 280 355 450 63 0 900 GB347815Z 18Z 22Z 27Z 18Z 22Z 26Z 30Z 34Z 38Z 26Z 30Z 34Z 38Z 44Z 50Z 4456Z

联轴器的概念参数以及应用

联轴器是什么?联轴器的产品参数和广泛应用 ……………………SEO实验室出品 弹性联轴器概念: 联轴器属于机械通用零部件范畴,用来联接不同机构中的两根轴(主动轴和从动轴)使之共同旋转以传递扭矩的机械零件。在高速重载的动力传动中,有些联轴器还有缓冲、减振和提高轴系动态性能的作用。联轴器由两半部分组成,分别与主动轴和从动轴联接。一般动力机大都借助于联轴器与工作机相联接,是机械产品轴系传动最常用的联接部件。20世纪后期国内外联轴器产品发展很快,在产品设计时如何从品种甚多、性能各异的各种联轴器中选用能满足机器要求的联轴器,对多数设计人员来讲,始终是一个困扰的问题。常用联轴器有膜片联轴器,齿式联轴器,梅花联轴器,滑块联轴器,鼓形齿式联轴器,万向联轴器,安全联轴器,弹性联轴器及蛇形弹簧联轴器。 联轴器的分类: 1.膜片型联轴器 单膜片联轴器G8S,特性:大扭矩承载、高扭矩刚性和卓越灵敏度;免维护、超强抗油和耐腐蚀性;零回转间隙;体积小巧的联轴器,总长度短;不锈钢膜片补偿角向轴向偏差;顺时针与逆时针回转特性完全相同双膜片联轴器G8L,特性:双膜片不锈纲膜片容许偏角,偏心及轴向偏差;免维护、超强抗油和耐腐蚀性;零回转间隙;体积小巧的联轴器,总长度长;不锈钢膜片补偿角向轴向偏差;顺时针与逆时针回转特性完全相同

单节膜片联轴器 2.齿式联轴器 GICL鼓型齿式联轴器GICLZ鼓形齿式联轴器GⅡCL鼓形齿式联轴器GⅡCLZ鼓形齿式联轴器GCLD鼓型齿式联轴器TGL尼龙内齿圈联轴器 3.轮胎式联轴器 UL型轮胎式联轴器LA型轮胎式联轴器LB型轮胎式联轴器DL多角形橡胶联轴器 4.星形弹性联轴器: XL系列星形弹性联轴器LXD单法兰星形联轴器XLS双法兰型星形联轴器LXZ带制动轮星形联轴器LXP带制动盘型联轴器LXT接中间套型联轴器LXJ接中间轴星形联轴器LXQ接中间轴球铰联轴器 5.梅花形弹性联轴器 LM(原ML)梅花联轴器LMS(原MLS)梅花联轴器LMD(原MLZ)梅花联轴器LMZI(MLLI)梅花联轴器LMZⅡ(MLLⅡ)联轴器 6.万向联轴器 万向联轴器有多种结构型式,例如:十字轴式、球笼式、球叉式、凸块式、球销式、球铰式、球铰柱塞式、三销式、三叉杆式、三球销式、铰杆式等,最常用的为十字轴式,其次为球笼龙,万向联轴器的共同特点是角向补偿量较大,不同结构型式万向联轴器两轴线夹角不相同,一般≤5°-45°之间。万向联轴器利用其机构的特点,使两轴不在同一轴线,存在轴线夹角的情况下能实现所联接的两轴连续回转,并可靠地传递转矩和运动。万向联轴器最大的特点是具有较大的角向补偿能力,结构紧凑,传动效率高。在实际应用中根据所传递转矩大小分为重型、中型、轻型和小型。7.星型弹性联轴器

联轴器综述

联轴器研究概述 田承战 (杭州电子科技大学机械工程学院浙江杭州310018) 摘要:联轴器是用来联接不同机构中的两根轴,使之共同旋转以传递扭矩的机械零件。本文主要介绍联轴器的研究现状及发展趋势。尤其针对船用弹性联轴器进行了系统的阐述。 关键词:船;弹性;联轴器; 1联轴器的概况 联轴器是用来联接不同机构中的两根轴(主动轴和从动轴)使之共同旋转以传递扭矩的机械零件。联轴器由两半部分组成,分别与主动轴和从动轴联接。一般动力机大都借助于联轴器与工作机相联接。 联轴器可分为刚性联轴器和挠性联轴器。挠性联轴器又分为无弹性联轴器和有弹性联轴器。无弹性这类联轴器因具有挠性,故可补偿两轴的相对位移。但因无弹性元件,故不能缓冲减振。常用的有凸缘联轴器、十字滑块联轴器、万向联轴器及齿式联轴器等。弹性这类联轴器因装有弹性元件,不仅可以补偿两轴间的相对位移,而且具有缓冲减振的能力。弹性元件所能储蓄的能量越多,则联轴器的缓冲能力愈强;弹性元件的弹性滞后性能与弹性变形时零件间的摩擦功愈大、则联轴器的减振能力愈好。这类联轴器目前应用很广,品种亦愈来愈多。常用的有滚子链联轴器、弹性套柱销联轴器、弹性柱销联轴器、星形弹性联轴器、梅花形弹性联轴器、轮胎联轴器及膜片联轴器等。 2联轴器的发展趋势 我国联轴器的技术和生产主要经历了三个阶段。一是二十世纪五、六十年代以自力更生为主,配合各种机械设备的制造,配套制造一些联轴器,功率较小,品种少,不成套。二是二十世纪七、八十年代,随着对外开放,引进一些专利技术,也进口了各种机械设备,如引进奥地利盖斯林格公司的盖斯林格联轴器,对这一技术的发展和在国内推广应用起了关键作用。进口许多大型挖泥船及其它船舶,对大功率弹性联轴器和弹性离合器的消化吸收和发展应用也起了很大作用。三是近十几年来,各工业部门根据发展配套的需要,在原机械部机械院标准所的统一规划下开展了一系列联轴器的标准化工作,进行了大量基础标准和产品标准的工作,为联轴器的通用化、系列化、标准化发展打下了基础。 在国外,联轴器的生产均以专业化为主,一般规模为中、小型,人员在数十至数百人,也有很多企业是全球性的,在世界各国有他们的生产、销售和服务网点。

实验五 数控分频器

实验五数控分频器 一、实验目的 1、学会数控分频器的设计、分析和测试方法; 2、根据仿真结果分析设计的优缺点。 二、实验原理 数控分频器的功能就是当输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY DIV IS PORT(CLK:IN STD_LOGIC; K_OR:OUT STD_LOGIC); END ENTITY DIV; ARCHITECTURE BHV OF DIV IS SIGNAL C1,C2:STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL M1,M2:STD_LOGIC; BEGIN PROCESS(CLK,C1) BEGIN IF RISING_EDGE(CLK) THEN IF (C1="100") THEN C1<="000";ELSE C1<=C1+1;END IF; IF (C1="001") THEN M1<=NOT M1;ELSIF C1="011" THEN M1<=NOT M1; END IF; END IF; END PROCESS ; PROCESS(CLK,C2) BEGIN IF FALLING_EDGE(CLK) THEN IF (C2="100") THEN C2<="000";ELSE C2<=C2+1;END IF; IF (C2="001") THEN M2<=NOT M2;ELSIF C2="011" THEN M2<=NOT M2;

联轴器拆装说明分析

联轴器安装使用说明 一、联轴器介绍 1、联轴器功能 联轴器是用来把两轴联接在一起,机器运转时两轴不能分离,只有机器停车并将联接拆开后,两轴才能分离。 2、联轴器的类型 联轴器所联接的两轴,由于受到生产制造及安装误差,承载后的变形以及温度变化的影响等,会引起两轴相对位置的变化,往往不能保证两轴心严格的对中。根据联轴器有无弹性元件、对各种相对位移有无补偿能力,即能否在发生相对位移条件下保持联接功能以及联轴器的用途等,联轴器根据其特性或用途可分为刚性联轴器,挠性联轴器和安全联轴器。 以下从联轴器的主要类型、特点及不同作用类别联轴器,在传动系统中的作用。 刚性联轴器:在装置中,只能传递运动和转矩,不具备其他功能,此类包括凸缘联轴器、套筒联轴器、夹壳联轴器等。 挠性联轴器:无弹性元件的挠性联轴器,不仅能传递运动和转矩,而且具有不同程度的轴向、径向、角向补偿性能。此类包括齿式联轴器、万向联轴器、链条联轴器、滑块联轴器等。 有弹性元件的挠性联轴器,能传递运动和转矩;具有不同程度的轴向、径向、角向补偿性能;还具有不同程度的减振、缓冲作用,改善传动系统的工作性能,包括各种非金属弹性元件挠性联轴器和金属

弹性元件挠性联轴器,各种弹性联轴器的结构不同,差异较大,在传动系统中的作用亦不尽相同安全联轴器传递运动和转矩,过载安全保护。挠性安全联轴器还具有不同程度的补偿性能,此类包括销钉式、摩擦式、磁粉式、离心式、液压式等安全联轴器。 二、联轴器装配方法 1、准备工作专用工具 安装联轴器需要专用工具有:带压力计的高压泵、带压力计的低压泵、红丹粉、百分表、磁力表架、量块、联轴器拆装工具等。 液压半联器是通过与轴间的摩擦力来接收或传递扭矩。因此,半联器必须紧紧地抱住轴。抱轴是通过将半联器在锥度轴上推进一定距离来完成的。为进行这个推进步骤,安装时必须扩大半联器内孔。 为了确保理想操作,推荐按以下步骤进行合理的液压安装: A、检查接触面 在轴与半联器内孔都完全清理干净后,在轴上涂上薄薄的一层红丹粉,并把半联器紧贴着推到轴上。在完全推入半联器后小角度转动它一下,然后拆下半联器并检查孔的红色。至少85%的孔应该有红丹粉接触到方可继续安装。 如下图:

数控分频器实验报告

《数控分频实验》 姓名:谭国榕班级:12电子卓越班学号:201241301132 一、实验目的 1.熟练编程VHDL语言程序。 2.设计一个数控分频器。 二、实验原理 本次实验我是采用书上的5分频电路进行修改,通过观察其5分频的规律进而修改成任意奇数分频,再在任意奇数分频的基础上修改为任意偶数分频,本次实验我分为了三个部分,前两部分就是前面所说的任意奇数分频和任意偶数分频,在这个基础上,再用奇数输入的最低位为1,偶数最低位为0的原理实现合并。 三、实验步骤 1.任意奇数分频 程序: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; ENTITY DIV1 IS PORT(CLK:IN STD_LOGIC; D:IN INTEGER RANGE 0 TO 255; K1,K2,K_OR:OUT STD_LOGIC ); END; ARCHITECTURE BHV OF DIV1 IS SIGNAL TEMP3,TEMP4:STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL M1,M2:STD_LOGIC; --SIGNAL OUT1,OUT2,OUT3:STD_LOGIC; BEGIN PROCESS(CLK,TEMP3) BEGIN IF RISING_EDGE(CLK) THEN IF(TEMP3=D-1) THEN TEMP3<="00000000"; ELSE TEMP3<=TEMP3+1; END IF; IF(TEMP3=D-(D+3)/2) THEN M1<=NOT M1; ELSIF (TEMP3=D-2) THEN M1<=NOT M1; END IF; END IF; END PROCESS; PROCESS(CLK,TEMP4) BEGIN IF FALLING_EDGE(CLK) THEN IF(TEMP4=D-1) THEN TEMP4<="00000000"; ELSE TEMP4<=TEMP4+1; END IF; IF(TEMP4=D-(D+3)/2) THEN M2<=NOT M2; ELSIF (TEMP4=D-2) THEN M2<=NOT M2;

联轴器的分类选型及参数尺寸-联轴器标准尺寸表

联轴器 用来联接不同机构中的两根轴(主动轴和从动轴)使之共同旋转以传递扭矩的机械零件。在高速重载的动力传动中,有些联轴器还有缓冲、减振和提高轴系动态性能的作用。联轴器由两半部分组成,分别与主动轴和从动轴联接。一般动力机大都借助于联轴器与工作机相联接。一、联轴器的分类 ?刚性联轴器(无补偿能力) ?挠性联轴器(有补偿能力): o无弹性元件 o有弹性元件 1.无弹性元件的挠性联轴器 这类联轴器因具有挠性,故可补偿两轴的相对位移。但因无弹性元件,故不能缓冲减振。常用的有以下几种: 凸缘联轴器(1) 这是普通凸缘联轴器,采用铰制孔用螺拴联接,并靠铰制孔(对应铰制孔螺栓) 螺拴来对中,依靠螺拴的抗剪切能力传递扭矩。

凸缘联轴器(2) 这是采用普通螺拴联接的凸缘联轴器,依靠两半联轴器结合面上摩擦力传递扭矩。 凸缘联轴器(3) 这也是采用铰制孔用螺栓联接的凸缘联轴器,但半联轴器外缘有防护边, 这种结构主要保证联轴器运行时的安全性。

十字滑块联轴器 十字滑块联轴器属于挠性联轴器;由两个端面上开有凹型槽的半联轴器和两面带有凸牙的中间盘组成。凸牙可在凹槽中滑动,可以补偿安装及运转时两轴间的相对位移。一般运用于转速n小于250r/min,轴的刚度较大,无剧烈冲击处。 滑块联轴器 滑块联轴器是由两个带凹槽的半联轴器和一个方形滑块组成,滑块材料通常为夹布铰木制成。由于中间滑块的质量较小,具有弹性,可应用于较高的转速。结构简单、紧凑、适用于小功率、高转速而无剧烈冲击处。

万向联轴器 十字轴式万向联轴器,由两个叉形接头、一个中间联接件和轴组成。属于一个可动的联接,且允许两轴间有较大的夹角(夹角α可达35°-45°)。结构紧凑、维护方便,广泛应用于汽车、多头钻床等机器的传动系统。 齿式联轴器 齿形联轴器由两个带有齿及凸缘的外套和两个带有外齿的套筒组成。依靠外齿相啮合传递扭矩。齿轮的齿廓曲线为渐开线,啮合角为20°。这类联轴器能传递很大的转矩,并允许有较大的偏移量,安装精度要求不高,常用于重型机械中。 2. 有弹性元件的挠性联轴器 这类联轴器因装有弹性元件,不仅可以补偿两轴间的相对位移,而且具有缓冲减振的能力。弹性元件所能储蓄的能量越多,则联轴器的缓冲能力愈强;弹性元件的弹性滞后性能与弹性变形时零件间的摩擦功愈大、则联轴器的减振能力愈好。这类联轴器目前应用很广,品种亦愈来愈多。

EDA数控分频器的设计报告

数控分频器的设计 1、实验目的: 学习数控分频器的设计、分析和测试方法。 2、实验原理: 数控分频器的功能就是当在输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比,数控分频器就是用计数值可并行预置的加法计数器设计完成的,方法是将计数溢出位与预置数加载输入信号相接即可,详细设计程序如例下文所示。 1) VHDL及语句分析 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY DVF IS --定义实体DVF PORT ( CLK : IN STD_LOGIC; --时钟输入 D : IN STD_LOGIC_VECTOR(7 DOWNTO 0); --7位预置数 FOUT : OUT STD_LOGIC ); --输出的频率 END DVF; ARCHITECTURE one OF DVF IS --定义结构体one SIGNAL FULL : STD_LOGIC; --定义信号full BEGIN P_REG: PROCESS(CLK) --进程P_REG中CNT8从预置数D开始 逐步累加到255后,FULL置1;再将 CNT8置为D,循环以获得一个新的周期 脉冲序列FULL,即产生新的频率V ARIABLE CNT8 : STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN IF CLK'EVENT AND CLK = '1' THEN IF CNT8 = "11111111" THEN CNT8 := D; FULL<='1'; ELSE CNT8 := CNT8 + 1; FULL <= '0'; END IF; END IF; END PROCESS P_REG ; P_DIV: PROCESS(FULL) --进程P_DIV中,FOUT是占空比为50%的周期

联轴器介绍及其装配大全

联轴器介绍及其装配大全 1 概述 一般机械都是由原动机、传动机和工作机构组成,这三部分必须联接起来才能工作,而联轴器就是把它们联接起来的一种重要装置。联轴器主要用于两轴之间的联接,它也可用于轴和其它零件(卷筒、齿轮、带轮等)之间的联接。它的主要任务是传递扭矩。 根据被联接两轴的相对位置关系,联轴器可分为刚性、弹性和液力三种。刚性联轴器用在两轴能严格对中,并在工作时不发生相对位移的地方;弹性联轴器用在两轴有偏斜或工作中有相对位移的地方;液力联轴器是用液体动能来传递功率,用在需要保护原动机不遭过载损坏而又可空载起动的地方。 各种联轴器的特性比较见表14.6-1。

2一般介绍: (1)刚性联轴器: 套筒、刚性凸缘、立式夹壳式、纵向可拆式、齿轮、浮动(十字滑块)、铰链(万向)联轴器 ,共7种。 a. 套筒联轴器: 制造容易,纵向尺寸小。装拆时需轴向移动。通常用于传递扭矩小于1000kgf.m ,转速低于250r/min ,轴径小于100mm 。它分为平键套筒联轴器、圆柱销套筒联轴器、圆锥销联轴器共三种。如图示: 图14.6-1 圆柱销套筒联轴器 图14.6-2 圆锥销套筒联轴器 图14.6-3 平键套筒联轴器 图14.6-4 刚性凸缘联轴器

1-圆盘(一)2-圆盘(二)3-螺母 4-螺栓5-垫圈6-螺钉 b. 刚性凸缘联轴器:它是两个带凸缘的半联轴器组成,中间用螺栓将两个半联轴器联成一体。 c. 立式夹壳式联轴器:它是由两个半圆筒形的夹壳以及联接它们的螺栓组成。拆装方便,不需要作轴向移动。多用于直径小于200mm的轴。为可靠,中间加一平键。 图14.6-5 立式夹壳式联轴器 d. 纵向可拆式联轴器:基本与c相似。 e. 齿轮联轴器:它是由两个内齿圈1、2和外齿圈3、4组成。并且内齿圈1、2用螺栓联接,外齿圈用键联接。 它的优点:有较多齿工作,可以传递很大的扭矩,并且允许综合位移,故在重型、高速机械中得到广泛应用。因此它制造精度高,成本也高。 f. 浮动联轴器(十字滑快联轴器):它是由两个端面带槽的半联轴器1和3以及一个两面具有凸肩的中间盘2组成,两凸肩互相垂直并并分别嵌在两半联轴器之间。 图14.6-11 浮动联轴器 1-半联轴器Ⅰ 2-中间盘 3-半联轴器Ⅱ 这种联轴器由于凸肩可在两凹槽中滑动,可允许有一定的径向位移和角位移。这种联轴器结构简单、价廉。缺点会产生很大的离心力和磨损。一般只适宜于低速轴上应用。 我公司煅烧炉普遍应用这种联轴器。 g. 铰链联轴器(万象联轴器)它主要由分别装在两轴端的叉行半联轴器1和2,用十字元件3联接起来,以传递扭矩。 最大特点:可在较大偏斜角下工作,偏斜角可达450

实验八 数控分频器的设计

实验八数控分频器的设计 1、实验目的 1 学习数控分频器的设计; 2 熟悉VHDL常用语句的意义和使用; 3 练习引脚分配和时序分析。 2、实验内容 1 设计8位数控分频器; 三、实验原理 对于一个N分频器,分频出的时钟周期原时钟周期的N倍,频率是原时钟频率的1/N。 对于一个8位计数器,如果开始预置一个数D,然后启动计数器工作,则经过11111111-D个时钟周期计数器的值又变为11111111,当计数器为该数值时,下一个时钟沿到来之时,又将计数器预置为D,然后开始正常计数。如此通过计数、预置的周期性操作,可以得到一个周期信号(即分频信号),其周期为11111111-D+1,即分频比为R= 11111111-D+1。所以改变D,则可以控制分频比。 数控分频器的VHDL代码见教材P146-147。仿真波形如图8-1所示。 图8-1 数控分频器仿真波形

图8-2 数控分频器芯片引脚分配 三、实验步骤 (一)8位数控分频器的设计 1 建立一个设计工程,工程名为PULSE; 2 打开文本编辑器,建立一个VHDL设计文件,其VHDL代码见教材 P166例6-22,文件名存为PULSE.VHD。注意文件的扩展名要选 为.vhd,而且要求工程名、文件名和设计实体名必须相同。 3 选器件:将器件型号选为; 4 编译; 5 建立波形文件,然后保存,其文件名必须与工程名一致;【波形

设置:①设置仿真时间为500us:②设置输入信号的波形:时钟周期设置为50ns,其他输入信号的波形设置参看图8-1。】 6 仿真,观察输出波形是否正确【参看图8-1中的输出波形】。 7 引脚分配;【将引脚分配到如图8-2所示的位置,然后再编译。 编译通过才算成功】 8 时序分析:分析芯片所能达到的最高时钟频率。【打开时序分析器,然后执行菜单命令:analysis/register performance /start】 五、思考题 1 按本实验所示的方法进行分频,输出的分频信号FULL和FOUT是奇数分频还是偶数分频?分别说明之。 2 将本实验的8位数控分频器扩展为16位分频器; 3 在本实验的设计基础上,如果设置2个预置数D和D1,则可以控制输出信号FULL的占空比,使时钟信号变得比较均匀。试在原来的VHDL 代码基础上进行改进,使用D和D1来控制FULL信号的占空比。 4 设计一个5分频器,使其分频时钟的占空比位3/5,写出VHDL设计代码。 【提示:对于一个5分频器的设计,可以先设计一个4位5进制计数器,则计数器的值在0,1,2,3,4上周期性循环。设计方法是:当计数器为4时,如果下一个时钟沿到来则将计数器置0,然后开始正常计数。另外定义一个分频信号CLK_DIV5,当计数器值为0,1,2时,使CLK_DIV5=1,当计数器值为3,4时,使CLK_DIV5=0,则CLK_DIV5为5分频信号,其占空比为3/5。】

数控分频器的设计

数控分频器的设计 一、实验目的: 学习数控分频器的设计和测试方法。 二、实验原理: 数控分频器的功能为在不同输入信号时,对时钟信号进行不同的分频,在输出端输出不同频率的信号。该电路可以用具有并行预置功能的加法计数器实现,方法是对应不同的输入信号,预置数(初始计数值)设定不同的值,计数器以此预置数为初始状态进行不同模值的计数,当计数器的状态全为1时,计数器输出溢出信号。用计数器的溢出信号作为输出信号或输出信号的控制值,使输出信号的频率受控于输入的预置数。 电路输出波形图: 三、实验内容: 1)根据实验原理画出电路框图,并计算在不同预置数时输出信号的频率与时钟频率的比值。 ------------------------------------------------------------------------------------------------------------- 原理图:

数控分频器输出频率与输入时钟的关系: 当输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比。 任意小数都可以表示成a n b +形式,其中a

十字滑块联轴器基本参数和主要尺寸

SL 型十字滑块联轴器基本参数和主要尺寸 mm 规格公称转矩 Tn(N·M) 许用转速 [n]r/min 轴孔直径 d D D L H S 转动惯量 2 ) 重量 (kg) SL70 120 250 15~18 70 32 42 14 SL90 250 250 20~30 90 45 52 14 SL100 500 250 36~40 100 60 70 19 SL130 800 250 45~50 130 80 90 19 10 SL150 1250 250 55~60 150 95 112 19 SL170 2000 250 65~70 170 105 125 24 SL190 3200 250 75~80 190 110 140 29 SL210 5000 250 85~90 210 130 160 33 45 SL240 8000 250 95~100 240 140 180 33 SL260 9000 250 100~110 260 160 190 33 2 76 SL280 10000 100 110~120 280 170 200 33 3 SL300 13000 100 120~130 300 180 210 43 111 SL320 16000 100 130~140 320 190 220 43 129 SL340 20000 100 150 340 210 250 48 162 SL360 32500 100 160 360 240 280 48 258 SL400 38700 80 170 400 260 300 48 305 SL460 63000 70 200 460 300 350 58 560

常用联轴器分类及性能介绍

常用联轴器分类及性能 介绍 SANY GROUP system office room 【SANYUA16H-

常用联轴器分类及性能介绍一、凸缘联轴器 凸缘联轴器(亦称法兰联轴器)是利用螺栓联接两凸缘式半联轴器,两个半联轴器分别用键与两轴联接,以实现两轴连接,传递转矩和运动。凸缘联轴器结构简单,制造方便,成本较低,工作可靠,维护均较方便,传递转矩较大,能保证两轴具有较高的对中精度,一般常用于载荷平稳,高速或传动精度较高的轴系传动。凸缘联轴器不具有径向、轴向和角向补偿的性能,使用时如果不能保证联接两轴对中精度,将会降低联轴器的使用寿命,传动精度和传动效率,并引起振动和噪声。 凸缘联轴器分为:YL型-基本型、YLD型-对中型 二、滑块联轴器 滑块联轴器与十字滑块廉政周期结构相似,不同之处在于中间十字滑块伟方形,利用中间滑块在其两侧联轴器端面的相应径向槽内滑动,以实现半联轴器联接。滑块联轴器躁声大,效率低,磨损快,一般尽量不选用,只有转速很低的场合使用。其型号为:WH型。 三、链条联轴器 链条联轴器利用公用的链条,同时与两个齿数相同的并列链轮啮合,不同结构形式的链条联轴器主要区别是采用不同的链条,常见的有双排滚子链联轴器,单排滚子链联轴器,齿形联轴器,尼龙链联轴器等。双排滚子链联轴器的性能优于其他结构形式的联轴器,他具有结构简单,装拆方便,拆卸时不用移动被联接的两轴,尺寸紧凑,质量轻,有一定补偿能力,对

安装精度要求不高,工作可靠,寿命较长,成本较低等优点。主要型号有:GL型(不带罩壳)、GLF(带罩壳)。 四、齿式联轴器 齿式联轴器是有齿数相同的内齿圈和带外齿的凸缘半联轴器等零件组成。 外齿分别为直齿和鼓形式两种,所谓鼓形齿即为将外齿制作成球面,球面中心在齿轮轴线上,齿侧间隙较一般齿轮大,鼓形齿联轴器可允许较大的角位移(相对直齿联轴器),可改善齿的接触条件,提高传递转矩的能力,延长使用寿命。 齿式联轴器在工作时,两轴产生相对角位移,内外齿的齿面周期性作轴向相对滑动,必然形成齿面磨损和功率消耗,因此,齿式联轴器需要良好的润滑和密封的状态。齿式联轴器的径向尺寸小,承载能力大,常用于低俗重载工况条件的轴系传动,高精度并经动平衡的齿式联轴器可用于高速传动。由于鼓形式联轴器角向补偿大于直齿联轴器,被广泛选用。鼓形齿式联轴器形式有: GICL型-宽型基本型,内齿圈较宽,能补偿较大的轴线偏移,适用于连接水平两同轴线轴系传动。 GIICL型-窄型基本型,齿间距小,允许相对径向位移小,结构紧凑,传动惯量小。 GICLZ型-宽型接中间轴型。 GIICLZ型-窄型接中间轴型。 GCLD型-接电机轴型,适用于与电机配套的场合。 WGP型-带制动盘型,适用于与盘式制动器配套的场合。

数控分频器的设计

实验四数控分频器的设计 一、实验目的: 1.学习数控分频器的设计、分析和测试方法,锻炼分析Verilog(VHDL)语言的能力。 二、原理说明: 数控分频器的功能就是当在输入端给定不同输入数据时,将对输入的时钟信号有不同的分频比,对原时钟进行占空比为50%的分频。在实验板选择50M 时钟,对其进行2~15分频,分频系数以拨码键盘控制,并用数码管进行显示(十六进制形式)。 三、实验内容: 1、根据由顶向下的设计原则,进行顶层建模。 分频系数分为奇数分频和偶数分频,因些包括Evn_Div(偶数分频)和Odd_Div(奇数分频)模块,数码管显示为SEG7_LUT,采用类似查找表的方式完成。 2、偶数分频 偶数分频模块比较简单,假设分频系数为N,只需要在计数器计到N/2时,将输出时钟反转即可。

仿真图如下: 3、奇数分频 奇数分频相对偶数分频比较麻烦,主要是对原时钟的上升沿和下降沿进行计数,通过两个计数器得到上升沿时钟和下降沿时钟,对这两个时钟进行与操作可得到奇数分频。

仿真图如下: 4、数码管显示 数码管显示模块应用case语句即可完成。

5、分频选择 分频系数有两种,一是偶数,另一个是奇数,因此可通过分频系数的最低位来进行选择,iDIV[0]既作为奇、偶分频模块的使能输入,又可作为输出时钟选择线。 6、顶层模块 将上述几个模块例化即可(注意iDiv[0]的连接方式)。 引脚: input CLOCK_50;//原时钟 input RST;//复位信号 input [3:0] iDIV;//分频系数 output oCLK;//输出时钟 output [6:0] oSEG;//数码管输出

数控分频器

数字起航—数控分频器零基础电子设计系列课程 主讲人:范秋华 国家级电工电子实验教学中心(青岛大学)

4.4.5 数控分频器 定义 实现 分频值与计数初值、计数器模的关系 举例

数控分频器 数控分频器就是当在输入端给定不同输入数据时,将对输入的时钟信号clk进行相应的分频输出clkout。

10.Architecture a of fdiv is 11.signal cnt: integer range 0 to n; 12.signal temp: std_logic; 13.Begin 14.process (clk) 15.begin 16.if (clk'event and clk='1') then 17.if(cnt

case keys7 is when "0000001" => if (clk'event and clk='1') then if count <"11111111111111110" then count:=count + 1; fullspks<= '0'; else fullspks<='1'; count:=0; end if;end if; --1 when "0000010" => if (clk'event and clk='1') then if count <"11111111111111100" then count:=count + 1;fullspks <= '0'; else fullspks<='1'; count:=0; end if;end if; --2 when "0000100" => if (clk'event and clk='1') then if count <"11111111111111000" then count:=count + 1;fullspks <= '0'; else fullspks<='1'; count:=0; end if;end if; --3 when "0001000" => if (clk'event and clk='1') then if count <"1111111111110000" then count:=count + 1;fullspks <= '0'; else fullspks<='1'; count:=0; end if;end if; --4 when "0010000" =>if (clk'event and clk='1') then if count <"1111111111110000" then count:=count + 1; fullspks<= '0'; else fullspks<='1'; count:=0; end if;end if; --5 when "0100000" =>if (clk'event and clk='1') then if count <"1111111111100000" then count:=count + 1; fullspks<= '0'; else fullspks<='1'; count:=0; end if;end if; --6 when others => null; end case;end process;

相关文档