文档库 最新最全的文档下载
当前位置:文档库 › 四路彩灯显示系统设计实验报告

四路彩灯显示系统设计实验报告

四路彩灯显示系统设计实验报告
四路彩灯显示系统设计实验报告

数字逻辑综合性实验设计报告

课程名称数字逻辑实验

题目名称四路彩灯显示系统

班级

学号

学生姓名

同组班级

同组学号

同组姓名

指导教师

2015年06 月

目录

1 需求分析 ...................... 错误!未定义书签。

1.1 实验目的 (3)

1.2 基本功能需求分析 (3)

1.3 设计任务与要求 (3)

1.4 设计总思路 (3)

2 系统设计 (5)

2.1 系统逻辑结构设计 (5)

2.2 系统物理结构设计.......... 错误!未定义书签。3系统实现....................... 错误!未定义书签。

3.1 系统实现过程.............. 错误!未定义书签。

3.2 系统测试 (11)

3.3 团队分工.................. 错误!未定义书签。

4 总结 .......................... 错误!未定义书签。参考文献 ....................... -错误!未定义书签。

1 需求分析

1.1 实验目的

本次实验设计要求设计一个4路的彩灯,通过综合的运用所学过的理论知识,从要求功能的分析、组合逻辑电路及时序逻辑电路的设计、芯片的选择、芯片引脚的连接、功能实现的过程培养我们的动手能力以及分析问题和解决问题的能力。

1.2 基本功能

本课题要求设计一个4路的彩灯,彩灯的控制流程如下:

1.第1路先点亮,然后依次第2、3、4路点亮;

2.第4路先灭,然后第3、2、1路依次灭;

3.4路彩灯均亮0.5s灭0.5s,共四次;

4.从1开始循环。

1.3设计任务和要求

用中小规模集成电路设计并制作一个四路彩灯显示系统,要求如下:

开机自动置入初始状态后即能按规定的程序进行循环显示。

程序由三个节拍组成:

第一节拍时,四路输出Q

1~Q

4

依次为1,使第一路彩灯先点亮,接着第二,第三,

第四路彩灯点亮。

第二节拍时,Q

4~Q

1

依次为0,使第四路彩灯先灭,然后使第三,第二,第一路

彩灯灭。

第三节拍时,Q

1~Q

4

输出同时为1态0.5秒,然后同时为0态0.5秒,使四路彩

灯同时点亮0.5秒然后同时灭0.5秒,共进行4次。每个节拍费时都为4秒,执

行一次程序共需12秒。

用发光二极管显示彩灯系统的各节拍。

1.4设计总思路

根据实验的要求,可以得到彩灯的整个循环过程如下:

根据课程设计课题要求,要实现本系统,需要两大基本的模块,即:循环控制电路程序执行电路。

经过分析可以得到以下的方案:

循环控制电路由74LS161经过反馈复位法实现,可以将74LS161改造成摸12技术器对应一个循环的12个节拍。至于程序执行电路可以由移位寄存器74LS194来实现。具体实现细节是循环的第1个节拍由74LS194的右移“1”功能来实现,第2个节拍则由74LS194的左移“0”功能来实现。而循环第3个节拍则直接由1Hz的脉冲接入彩灯即可。

电路的模块组成如下图:

2 系统设计

2.1 系统逻辑结构设计

此次实验所设计的四路彩灯显示系统可分为分循环控制部分、程序执行部分。

循环控制部分

循环控制部分用同步十六进制计数器74LS161的反馈复位法实现模12节拍控制。要求的12个节拍的状态转换情况如下:

从而可以得到反馈输入也即74LS161的清零端的输入LOAD = D B A Q Q Q ?? 。因而电路可实现摸12节拍控制。其仿真电路图如下所示(直接使用了74LS10实现与

1 Hz 脉冲输入

74LS161

反馈复位电路

74LS194

彩灯输入电路

0000 0001

0010 0011 0100 0101

0110

0111

1000

1001

1010

1011

非功能):

四路彩灯显示系统循环控制部分电路

程序执行部分

74LS194是一种具有左移、右移和并行置数功能的通用移位寄存器。其功能表如

下:

输入输出功能

CR S1 S0 CP SL SR D0 D1 D3 D3 Q0 Q1 Q2 Q3

0 ╳╳╳╳╳╳╳╳╳0 0 0 0 清零

1 1 1 ↑╳╳d0d1 d

2 d

3 d0 d1 d2 d3 送数1 0 1 ↑╳ 1 ╳╳╳╳ 1 Q0 Q1 Q2 右移1 1 0 ↑0 ╳╳╳╳╳Q1 Q2 Q3 0 左移

74LS194功能表

根据74LS194的功能表,再结合实验要求可以得到一个循环中74LS161和74LS194的主要输入输出的情况,如下表所示:

说明 秒脉

冲 74LS161 74LS194

彩灯输出

D Q C Q B Q A Q 1S 2S

A Q

B Q

C Q

D Q

a Q

b Q

c Q

d Q

第一节拍 ↑ ↑ ↑

↑ 0 0 0 0

0 0 0 1

0 0 1 0

0 0 1 1

0 1 0 1 0 1 0 1 1 0 0 0

1 1 0 0

1 1 1 0

1 1 1 1

1 0 0 0

1 1 0 0

1 1 1 0

1 1 1 1 第二节拍 ↑ ↑ ↑

↑ 0 1 0 0

0 1 0 1

0 1 1 0

0 1 1 1

1 0 1 0 1 0 1 0 1 1 1 0

1 1 0 0

1 0 0 0

0 0 0 0

1 1 1 0

1 1 0 0

1 0 0 0

0 0 0 0 第三节拍 ↑ ↑ ↑

↑ 1 0 0 0

1 0 0 1

1 0 1 0

1 0 1 1 1 0

1 0

1 0

1 0

0 0 0 0

0 0 0 0

0 0 0 0

0 0 0 0

1Hz 时钟CP

得到的74LS194输入表达式如下: A = B = C = D = 0

L S = 0 R S = 1 1S = D Q + C Q

2S = C D Q Q

整个电路的一个循环内的运行情况如下: 初始状态为0000,四路彩灯处于灭的状态

在第一个节拍内,1S = 0,2S = 1,因为R S = 1,所以74LS194处于右移“1”的功能,这四路彩灯在节拍的控制下依次从左到右亮起。

在第二个节拍内,1S = 1,2S = 0,因为L S = 0,所以74LS194处于左移“0”的功能,这四路彩灯在节拍的控制下依次从右到左熄灭。

在第三个节拍内,在彩灯输入电路的控制下,彩灯的输入已经变成了1Hz 的脉冲 此时彩灯在脉冲的作用下,在每一秒里先亮0.5秒,再灭0.5秒,共进行四次。 直到跳到下一个循环。 此部分电路图如下:

彩灯输入电路

这部分的功能主要是完成对彩灯的输入来源由74LS194转换为脉冲的功能。该部

分也是将整个系统连接起来的重要部分,它主要由或门组成。电路图如下:

2.2 系统物理结构设计

实验所需器材

名称数量数字逻辑试验箱1台74LS004组2输入与非门2片

74LS04反相器1片

74LS32 4组2输入或门2片

74LS1614位二进制加法计数器1片

74LS194 4位移位寄存器1片

导线若干

整个系统的设计图如下所示:

3 系统实现

3.1 系统实现过程

1、检查芯片,导线,试验台卡槽及接口;

2、分析功能要求;

3、根据分析设计电路;

4、在实验台上实现设计;

5、调试,直至系统能正常运行。

在该系统的实验过中,我们遇到了理论上没有的BUG,第一次是电路连好后能够实现前两个节拍,但是到第3个节拍时电路始终不亮。我们排查了很久,

从连线是否正确,到最后的确定了是一个反相器芯片坏了,这其中花费了比较多

的时间。但这也给了我们一个教训,在连线之前检查芯片功能是否正确是多么重要。

最后得到的整个电路的实际实现图片如下:

3.2 系统测试

1、测试方案

第一步先是测试彩灯第一节拍即自左向右依次变亮。

第二步是测试彩灯第二节拍即能否在全亮状态自右向左依次熄灭。

第三步是测试彩灯第三节拍即能否进行由全亮到全灭的规律性闪烁。

第四步是对于每一节拍时间间隔的测试,即每一节拍能否维持四秒钟,

且第三节拍每次亮灭是否为一秒。

2、预期测试结果

第一步测试我们可以观察到系统开启后,四路彩灯依次由左向右逐个亮起。

第二步测试我们可以观察到在第一节拍完成后,四路彩灯处于全亮状态,然后进入第二节拍,由全亮状态自右向左逐次熄灭。

第三步我们可以观察到当第二节拍执行完成后,四路彩灯全部处于熄灭状态,然后进行有规律闪烁。

3、仿真测试的实际结果

对应的12秒的仿真结果如下图:

第1秒

第2秒

第3秒

第4秒

第5秒

第6秒

第7秒

第8秒

第9秒到第12秒分别是四路等闪烁如下图的亮灭交替

3、测试过程问题分析处理

(1)彩灯只有一种花样变化,没有其它的花样:

可能是移位计数器的S0,S1端控制出现问题,应该检查循环控制逻辑电路。还

可能是12进制循环控制计数器没正常工作,检查是否正确接线,芯片是否功能

完好。特别注意不能把非门的输入与输出接反了。

(2)彩灯的四种花样都有,但一次循环结束到另一次循环开始中间有段时间彩灯不亮:

原因可能是控制循环的12进制计数器没有真确接线,实际控制循环的进制大于

12进制,导致有放空时间。应该检查74LS20是否正常工作,确保能实现

12进制计数。

3.3 团队分工

实验前分别对实验题目要求进行分析,查找所需要的资料,合作设

计实验电路。

实验过程中二人合作连接电路,并对电路进行检查,对发现的问题进行排查,改进电路。

实验后,分别进行总结分析。

4 总结和体会

这次的数字逻辑实验教会我的是快速学习和实际的动手能力。在实验开始之时我

的设计实验所需的知识几乎为0,于是在设计之前只能拿着课本提前学习时序辑电路的知识,提前学习同步计数器74LS161和移位寄存器74LS194的原理和功能。但由于时间有限,自己只能只抓重点快速学习,在这个过程中自己的快速学习能力上来了。在此次课程设计实验中,通过查找资料和网上搜索相关资料,我学会了寄存器的使用方法,熟悉了寄存器的一般应用,基本掌握了数字系统设计和调试的方法。

在实验室搭建调试电路的过程需要的是耐心和细心的。虽然在实验之前老师就强调了实验之前检查芯片和导线功能正确与否的重要性,但在实验中还是难免犯了因为芯片坏了而导致实验结果不正确的结果。导致实验花费了不少不必要的差错时间按。实验前对每一个芯片都进行了检查,这有两个好处:其一是熟悉芯片的原理,这有利于对整体电路的检查;其二当然是测试芯片的好坏,可减少一定的工作量,避免搭好电路后检查错误走入死胡同。在这次课程设计中我学到了很多也收获了很多,谢谢同学和老师的帮助,才使我顺利完成了这次课设。

参考文献

[1] 武俊鹏孟昭林付小晶《数字电路与可编程技术实验教程》 2007年4月

[2] 《数字逻辑》

四路彩灯显示系统设计

课程名称:数字电路逻辑设计 设计项目:四路彩灯显示系统设计专业班级:通信学号: 学生姓名: 同组人姓名: 指导教师:

设计课题:四路彩灯显示系统设计 一、 设计目的 1、 熟悉常用中规模计数器的逻辑功能。 2、 掌握技术、译码电路的工作原理及应用。 3、 熟悉移位寄存器的工作原理、典型应用和调试方法。 二、 设计任务与要求 设计一个四路彩灯控制器,设计要求如下: (1) 接通电源后,彩灯可以自动按预先设置的程序循环闪烁。 (2) 设置的彩灯花型由三个节拍组成: 第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间1s ,共用4s ; 第二节拍:四路彩灯从右向左逐次渐灭,也需4s ; 第三节拍:四路彩灯同时亮0.5s,然后同时变暗,进行4次,所需时间也为4s 。 (3)三个节拍完成一个循环,一共需要12s 。一次循环之后重复进行闪烁。 三、设计原理 图(a)四路彩灯控制流程图 四路彩灯即有四路发光二极管输出,设依次为d Q 、c Q 、b Q 、a Q ,若用高电平“1”表示灯亮,低电平“0”表示灯灭,由课程设计要求可知四路彩灯显示系统有如下表所示的输出

显示。 四路彩灯输出显示 说明 输出 所用时间d Q c Q b Q a Q 开机初态0 0 0 0 第一节拍逐次渐亮1 0 0 0 1 1 0 0 1 1 1 0 1 1 1 1 1s 1s 1s 1s 第二节拍逆序渐灭1 1 1 0 1 1 0 0 1 0 0 0 0 0 0 0 1s 1s 1s 1s 第三节拍同时亮0.5s,然后同时灭0.5s,进行四次1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 分析可知,要实现上表所示功能,需要一个分频器起节拍产生和控制作用,每4s一个节拍,3个节拍共12s后反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。

四路彩灯设计

Proteus 仿 真 大 作 业 课题:四路彩灯设计系部: 班级: 姓名: 指导老师: 2013.05.31

前言 Proteus是世界上著名的EDA工具仿真软件,从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB 设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台。 使用Proteus 软件进行单片机系统仿真设计,是虚拟仿真技术和计算机多媒体技术相结合的综合运用,有利于培养学生的电路设计能力及仿真软件的操作能力;在单片机课程设计和全国大学生电子设计竞赛中,我们使用 Proteus 开发环境对学生进行培训,在不需要硬件投入的条件下,学生普遍反映,对单片机的学习比单纯学习书本知识更容易接受,更容易提高。实践证明,在使用 Proteus 进行系统仿真开发成功之后再进行实际制作,能极大提高单片机系统设计效率。 因此,有较高的推广利用价值。

目录 第一章四路彩灯、555简介 (5) 1.1 四路彩灯设计原理 (5) 1.2 555芯片简介 (5) 第二章课程设计任务及要求 (6) 2.1 设计任务 (6) 2.2 设计要求及器件 (6) 2.3 设计目标 (6) 2.4 设计软件环境要求 (6) 2.5 元器件列表 (7) 2.6系统逻辑框图 (7) 2.7 彩灯点亮过程 (7) 第三章Proteus仿真电路 (8) 3.1 绘制数字时钟电路Protues仿真原理图: (8) 3.1.1 启动ISIS 7 Professional软件 (8) 3.1.2 数字时钟原理图 (9) 3.1.3 时钟电路 (9) 3.1.4 计数电路 (11) 3.1.5 电路检测 (12) 3.1.6参数的计算 (12) 3.2 仿真调试 (12) 第四章调试及测试结果分析 (14) 4.1 结果的调试及分析 (14) 4.2测试电路的方法和技巧: (14) 4.3调试中出现的故障、原因及排除方法: (14) 总结 (15) 参考文献 (16)

花样彩灯课程设计

摘要在许多场合都可以看到彩色霓虹灯,LED彩灯由于其彩色丰富,造价低廉,控制简单等特点受到了广泛的应用。本系统是以51系列单片机AT89C51为主控器,附有LED发光二极管,用51系列单片机AT89C51来控制LED发光二极管亮灭,系统运行稳定,花样多多。 关键词彩色霓虹灯AT89C51 LED 一方案设计与论证 1.1单片机 方案一STC89C52 STC89C52是STC公司生产的一种低功耗、高性能CMOS8位微控制器,具有8K在系统可编程Flash存储器。能为许多嵌入式控制引用系统提供高灵活、超有效的解决方案。 方案二AT89C51 AT89C51是一种带4K字节Flash存储器的低电压、高性能COMS 8位微处理器。AT89C51单片机能为很多嵌入式系统提供一种灵活性高且价廉的方案。 虽然STC89C52具有51单片机不具备的功能,单本系统操作简单,且51单片机价格低廉,所以我们选择方案二。 二硬件电路设计 2.1 主控模块 2.1.1 AT89C51引脚图

图2.1.1 AT89C51引脚图 2.1.2AT89C51引脚功能介绍 Vss:接地端。 Vcc:电源端。 XTAL1:接外部晶体的一个引脚。CHMOS单片机采用外部时钟信号时,时钟信号由此脚引入。 XTAL2:接外部晶振的一个引脚。HMOS单片机采用外部时钟信号时,外部时钟信号由此脚接入。 RST:(1)复位信号输入;(2)Vcc掉电后,此引脚可接备用电源,低功耗条件下保持内部RAM中的数据。 ALE//PROG:地址锁存允许。 /PSEN:程序锁存允许。 /EA/Vpp:EA=0,单片机只访问外部程序存储器。EA=1,单片机访问内部程序存储器。 P0口----P0口可以作为输入/输出口,在实际应用中,常作为地址/数据总线口,即低8位地址与数据线分时使用P0口。低8位地址由ALE信号的下跳沿锁存到外部地址锁存器中,而高8位地址由P2口输出。 P1口----P1口每一位都能作为可编程的输入或输出口线。 P1.0:T2引脚,定时/计数器2外部计数脉冲。 P1.1:T2EX引脚,定时/计数器2触发和方向控制。 P1.5:MOSI引脚,在系统编程数据输入。 P1.6:MISO引脚,在系统编程数据输出。 P1.7:SCK引脚,在系统编程时钟输入。 P2口----P2口可以作为输入口或输出口使用,外接存储器和I/O接口时,又作为扩展系统的地址总线,输出高8位地址,与P0口一起组成16位地址总线。对于内部无程序存储器的单片机来说,P2口一般只作为地址总线使用,而不作

课程设计----四路彩灯显示系统设计

数字电路逻辑设计 实 验 报 告 设计题目: 专业班级: 姓名: 学号:

设计课题:四路彩灯显示系统设计 1.设计任务和要求 设计一个四路彩灯控制器,设计要求如下: (1)接通电源后,彩灯可以自动按预先设置的程序循环闪烁。 (2)设置的彩灯花型由三个节拍组成: 第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间1s,共用4s; 第二节拍:四路彩灯从右向左逐次渐灭,也需4s; 第三节拍:四路彩灯同时亮0.5s,然后同时变暗,进行4次,所需时间也 为4s。 (3)三个节拍完成一个循环,一共需要12s。一次循环之后重复进行闪烁。 2. 设计分析 四路彩灯既有四路输出,设依次为d Q、c Q、b Q、a Q,若“1”表示灯亮,“0”表示灯灭,由课题要求可知四路彩灯显示系统要求如下表1所示的输出显示。 表1 四路彩灯输出显示

由上表可知,需要一个分频器起节拍产生和控制作用,每4s 一个节拍,3个节拍共12s 后反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。 分频及节拍控制可以用一个模12计数器来完成;彩灯渐亮、渐灭可以用器件的左移、右移功能来实现,因此可选用移位寄存器74194来完成。同时亮0.5s 、同时灭0.5s 可考虑把1Hz 的秒脉冲信号直接加到输出显示端来完成。 综上所述,要完成四路彩灯显示功能需要有分频器、节拍控制器、节拍程序执行器及脉冲源等电路。 记第一,二,三节拍分别为012Y YY 有效时间应为4秒,0Y 结束1Y 马上开始,1 Y 后2Y 马上开始,如此循环不断。为此可考虑采用移位寄存器构成的移位型控制器。由于有三个状态,因此需要用三个触发器对现时状态进行记忆,为使各状态的有效时间间隔为4秒,则驱动该移位控制器动作时钟周期应为4秒。应在开机瞬间,使移位型控制器的状态被确定下来,即012Y YY 节拍应为100,可控制输入信号使触发器置位、复位来实现。 为实现0Y 功能要求器件具有右移功能,为实现1Y 功能要求器件有左移功能;而且左、右移输入可为“0”也可为“1”;为实现2Y 功能,要求器件同时具有并行置数功能。因此可选用一种具有左移、右移和并行置数功能的通用移位寄存器74LS194。74LS194具有并行输入端A 、B 、C 、D ,并行输出端A Q 、B Q 、C Q 、D Q ,右移输入端SR ,左移输入端SL 和模式控制输入端0S ,1S 以及一个无条件直接清除端CLR 。模式控制输入0S ,1S 有00、01、10、11四种组合方式,分别表示双向移位寄存器所具有的四种功能,即禁止、右移、左移和并行置数。为了使当 012Y YY =100时,01S S =01(右移),012Y YY =010时,01S S =10(左移),当012Y YY =001时01S S =11(并行置数)。 74LS194的输出端初态均为零,在开机瞬间,使移位控制端01S S 的状态被确 定下来,即 012Y YY =100时,01S S =01 右移串行数据输入端 SR 经脉冲信号经四分频电路和 通过两或门组成的节拍电路,使四路彩灯从右到左依次亮共 4秒 ,

PLC彩灯控制课程设计

P L C彩灯控制课程设计 The Standardization Office was revised on the afternoon of December 13, 2020

基于P L C 的彩灯控制

目录 课程设计任务书 (1) 引言 (2) 一 PLC的定义及发展 (3) 二系统分配 (6) 硬件分配图 (6) 软件分配图 (7) 三方案设计 (8) 顺序工程图 (8) 程序运行步骤 (9) 四总结 (10) 参考文献 (11)

基于PLC的彩灯控制 一、控制要求: 系统启动后,L1、L5同时亮,然后熄灭;之后L2、L8同时亮,然后熄灭;接着L3、L7同时亮,然后熄灭;最后L4、L6同时亮,然后熄灭,按照此方式循环5次后再逆向闪烁5次,并循环。 二、设计任务 1.设计出硬件系统的结构图、接线图; 2.系统有启动、停止功能; 3.运用功能指令进行PLC控制程序设计; 4.程序结构与控制功能自行创新设计; 5.进行系统调试,实现上述功能。

引言 随着社会市场经济的不断繁荣和发展,各种装饰彩灯、广告彩灯越来越多地出现在城市中。在大型晚会的现场,彩灯更是成为不可缺少的一道景观。小型的彩灯多为采用霓虹灯管做成各种各样和多种色彩的灯管,或是以日光灯、白炽灯作为光源,另配大型广告语、宣传画来达到效果。这些灯的控制设备多为数字电路。而在现代生活中,大型楼宇的轮廓装饰或大型晚会的灯光布景,由于其变化多、功率大,数字电路则不能胜任。针对PLC日益得到广泛应用的现状,本文介绍PLC在不同变化类型的彩灯控制中的应用,灯的亮灭、闪烁时间 及流动 方向的控制均通过PLC来达到控制要求。在彩灯的应用中,装饰灯、广告灯、布景灯的变化多种多样,但就其工作模式,可分为三种主要类型:长明灯、流水灯及变幻灯。长明灯的特点是只要灯投入工作,负载即长期接通,一般在彩灯中用以照明或衬托底色,没有频繁的动态切换过程,因此可用开关直接控制,不需经过PLC控制。流水灯负载变化频率高,变换速度快,使人有眼花缭乱之感,分为多灯流动、单灯流动等情形。变幻灯则包括字形变化、色彩变化、位置变化等,其主要特点是在整个工作过程中周期性地花样变化,但频率不高。流水灯及变幻灯均适宜采用PLC控制。 关键词:PLC 循环控制

六路彩灯循环控制器数电课程设计报告书

一.设计目的及要求 1.1 课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5种演示花型: 花型1:6路彩灯同时亮; 花型2:6路彩灯同时灭; 花型3:6路彩灯从左至右逐路点亮; 花型4:6路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6路彩灯右侧三个全亮,同时左侧三个全灭;

要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3、花型4,花型5、花型1······且电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图 2.1 题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个74LS160,一个74LS42和两个74HC194,这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2题目设计 花型1,111111;花型2,000000;花型3,100000——010000——001000——000100——000010——000001;花型4,111000;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3 结构框图

四路彩灯系统报告书

一.设计整体思路 根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。时钟脉冲产生电路由74LS161分频实现,循环控制电路由74LS161和7420实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。前两个节拍由74LS194芯片左移右移功能易于实现,第三个节拍整体送数,利用异步清零将清零端置0达0.5秒即可。 二.基本原理及整体框图 1、基本原理 由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和S1实现右移、左移和送数,通过控制CLR'控制清零。第一节拍为1右移,第二节拍为0左移,第三节拍全亮为置数1,全灭为清零。由于程序循环一次要12秒,故需要一个12进制的计数器控制循环。第三节拍时要求1秒内全灭全亮各一次,故可在前0.5秒内送数1将彩灯全部点亮,接下来的0.5秒使得74LS19清零端为零,从而将彩灯熄灭。然后重复此亮灭状态三次。因此第三个节拍只要在清零端送与CL K端相同的脉冲,但考虑到竞争冒险对电路的影响,需延迟时钟脉冲。

2、框图

三.单元电路设计及单元电路 1、分频器的实现 在数字电子技术中,对脉冲实现分频的方法一般有两种:其一是用n进制计数器。其二是用D触发器电路。这两种方法各有优势,但在此处我只分析用74LS161计数器实现分频的电路。电路分析图: 及其波形图 如下

2、循环控制电路 如果模N计数器的计数序列从最小1到最大数N,那么N+1是多余的,可用与非门检测N,当N出现时,与非门输出为低,用它控制清零端CR,将计数器清零。此处工作状态从0001~1100,检测到1101时异步清零。 12进

彩灯控制器课程设计汇本报告

数字电子技术课程设计报告 专业名称: 班级: 姓名: 学号: 日期:

目录 一、设计要求 (1) 二、设计的作用、目的 (1) 三、设计的具体实现 (1) 1、系统概述 (1) 2、单元电路设计、分析与仿真 (2) 3、电路的安装与调试 (6) 四、心得体会及建议 (7) 五、附录 (7) 六、参考文献 (8)

设计报告 一、设计要求 说明:1.以十个指示灯作为显示器件,能自动的从左到右、从右到左的依次被点亮,如此周而复始,不断循环。 2.打开电源时可自动清零,每个指示灯被点亮的时间约为0.5S—2S 围。 3.用计算机画出电路设计图,进行仿真分析验证其正确性。 4.写设计说明书一份。 二、设计的作用、目的 1、为了培养学生运用相关课程的基础理论和技能解决实际问题,并进一步提高学生抓也基本技能、创新能力的重要实践教学环节。 2、培养学生正确的设计思想与方法、严谨的科学态度和良好的工作作风。 3、通过课程设计,学生受到设计与写作方法的初步训练,能用文字、图形和现代设计写作方法系统地、正确地表达课程设计。 4、巩固、深化和扩展学生的理论知识与初步的专业技能。 5、熟悉各种芯片的功能和应用。 6、完成彩灯控制器的设计,绘出电路原理图。 7、调试电路,是否能正常工作。 理工大学课程设计:彩灯控制器 三、设计的具体实现 1)系统概述

此电路主要由三部分组成,其整体框图如图(一)所示。 振荡电路计数译码驱动电路显示电路 图(一) 2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图二所示。 图(二) (2)计数器/译码分配器 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十进制计数/分频器4017,它是一种用途非常广泛的电路。其部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。

数电课程设计-四花样彩灯控制器

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:四花样彩灯控制器 学院名称:信息工程学院 专业:通信工程班级:110422 学号:姓名:陈粤龙 评分:教师: 20 13 年9 月23 日 数字电路课程设计任务书20 12 -20 13 学年第 1 学期第19 周-20周 题目四花样彩灯控制 内容及要求 (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3) 四亮四灭,从左向右移动 (4) 从1~8从左到右逐次点亮,然后逐次熄灭 (5) 四种花样自动变换。 进度安排 1.?布置任务、查阅资料、选择方案、领仪器设备:2天 2.?仿真、画PCB线路图:2天 3.?领元器件、制作、焊接:3天 4.?调试:2天 5.?验收:1天 6.?提交报告:2013-2014学年第一学期?2-3周 学生姓名:陈粤龙

注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。 前 言 彩灯控制器有着非常广泛的运用,如:LED 彩灯,音乐彩灯控制器,二维彩灯控制器等等,现简单介绍如下: 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。LED 彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用 彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED 彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一 指导时间:2周 指导地点: E610 任务下达 2013年 9月 22日 任务完成 2013 年 9 月 25日 考核方式 1.评阅 □ 2.答辩 □ 3.实际操作□ 4.其它 □ 指导教师 系(部)主任 摘 要 彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。本次设计的四花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 本次课程设计要设计一个四花样彩灯控制器。首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。根据四种花样确定这四种码,可通过模十六计数器74LS161的输出端接与门74LS08和非门74LS04产生。要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS153的四个输入端,它的地址输入端接双D 触发器74LS74的两个输出端,74LS74可产生四种循环的状态,从而实现彩灯的自动转换。时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS161和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D 触发器。当彩灯完成一种花样时,双D 触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化。 经实验验证,所设计的四花样彩器能完成四花样变换,每一种花样循环两次,四种花样不断循环。 关键字:时钟脉冲 分频 自动转换 控制器 数据选择器

四路彩灯显示系统设计

课程名称: 数字电路逻辑设计 设计项目:四 路彩灯显示系统设计 专业班级: 通信 学生姓名: 同组人姓名: 指导教师: 学号:

设计课题:四路彩灯显示系统设计 一、 设计目的 1、 2、 3、 熟悉常用中规模计数器的逻辑功能。 掌握技术、译码电路的工作原理及应用。 熟悉移位寄存器的工作原理、典型应用和调试方法。 二、 设计任务与要求 设计一个四路彩灯控制器,设计要求如下: (1) 接通电源后,彩灯可以自动按预先设置的程序循环闪烁。 (2) 设置的彩灯花型由三个节拍组成: 第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间 1s ,共用 4s ; 第二节拍:四路彩灯从右向左逐次渐灭,也需 4s ; 第三节拍:四路彩灯同时亮 0.5s,然后同时变暗,进行 4 次,所需时间也为 4s 。 (3)三个节拍完成一个循环,一共需要 12s 。一次循环之后重复进行闪烁。 三、设计原理 图(a)四路彩灯控制流程图 四路彩灯即有四路发光二极管输出,设依次为 、 、 、 ,若用高电平“1” 表示灯亮,低电平“0”表示灯灭,由课程设计要求可知四路彩灯显示系统有如下表所示的输 出显示。 Q Q Q Q d c b a

说明 输出 所用时间Q d Q c Q b Q a 开机初态0 0 0 0 第一节拍逐次渐亮1 0 0 0 1 1 0 0 1 1 1 0 1 1 1 1 1s 1s 1s 1s 第二节拍逆序渐灭1 1 1 0 1 1 0 0 1 0 0 0 0 0 0 0 1s 1s 1s 1s 第三节拍同时亮0.5s,然后同时灭0.5s,进行四次1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 分析可知,要实现上表所示功能,需要一个分频器起节拍产生和控制作用,每4s一个节拍,3个节拍共12s后反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。

流水灯课程设计(免费)..

数字电子技术课程设计报告 (彩灯控制器) 专 专业:电子信息工程 班级:7B1211 学号:123025 姓名:白旭飞 年月:2014-6-28

一、设计要求 1. 以8或10个指示灯作为显示器件,能自动的从左到右、从右到左自动的依次被点亮,如此周而复始,不断循环。 2.打开电源时控制器可自动清零,每个指示灯被点亮的时间相同约为0.5S~2S 范围内。 3.用计算机画出设计电路图,进行仿真分析验证其正确性。 4.写设计说明书一份(画总原理框图以及说明主要工作原理,单元电路的设计和元器件的选择,画出完整的电路图和元器件明细表,收获、体会及建议) 二、设计的作用,目的 1.作用 利用控制电路可使彩灯(例如霓虹灯)按一定的规律不断的改变状态,不仅可获得良好的观赏效果,且可以省电(与彩灯全亮相比)。 2.目的 用NE555芯片,74LS151芯片,74LS163芯片,74LS194,以及一些逻辑门芯片完成彩灯控制器。 三、设计的具体实现 1.系统概述 接通电源时,555占空比可调振荡器产生1s单位的脉冲,脉冲送到下一个模块74LS151计数器,目的实现模5计数器,达到每五秒生成一个脉冲输向下一个芯片74LS194移位寄存器以及计数器74LS163。进而彩灯在脉冲的作用下依次点亮,并实现循环,完成实验要求。 2.总体思路 先用555定时器用来生成1s标准单位cp脉冲,把脉冲给计数器74LS151,通过74LS151形成模5加法计数器,再将74LS151输出信号供给74LS194移位寄 存器输入端,Q 0,Q 1, Q 2 和Q 3 接彩灯然后连接几个逻辑门,把74LS194接成环形 计数器。就能实现基本电路要求。 3.方案设计 总体电路共分三大块。第一块实现时钟信号的产生;第二块实现灯亮灭情况的演示;第三块实现灯亮灭的控制及节拍控制。

四路彩灯显示电路数字逻辑课程设计

数字电路逻辑设计 课 程 设 计 设计题目:四路彩灯显示系统 专业班级: 姓名: 学号: 设计课题:四路彩灯显示系统设计 1.设计任务和要求 设计一个四路彩灯控制器,设计要求如下: (1)接通电源后,彩灯可以自动按预先设置的程序循环闪烁。 (2)设置的彩灯花型由三个节拍组成: 第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间1s,共用4s; 第二节拍:四路彩灯从右向左逐次渐灭,也需4s; 第三节拍:四路彩灯同时亮0.5s,然后同时变暗,进行4次,所需时间 也为4s。

(3)三个节拍完成一个循环,一共需要12s 。一次循环之后重复进行闪烁。 2. 设计分析 四路彩灯既有四路输出,设依次为 d Q 、 c Q 、 b Q 、 a Q ,若“1”表示灯 亮,“0”表示灯灭,由课题要求可知四路彩灯显示系统要求如下表1所示的输出显示。 表1 四路彩灯输出显示 由上表可知,需要一个分频器起节拍产生和控制作用,每4s 一个节拍,3个节拍共12s 后反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。 分频及节拍控制可以用一个模12计数器来完成;彩灯渐亮、渐灭可以用器件的左移、右移功能来实现,因此可选用移位寄存器74194来完成。同时亮0.5s 、同时灭0.5s 可考虑把1Hz 的秒脉冲信号直接加到输出显示端来完成。 综上所述,要完成四路彩灯显示功能需要有分频器、节拍控制器、节拍程序执行器及脉冲源等电路。 记第一,二,三节拍分别为012Y Y Y 有效时间应为4秒,0Y 结束1Y 马上开始, 1Y 后2Y 马上开始,如此循环不断。为此可考虑采用移位寄存器构成的移位型控制

四路彩灯报告

电子技术课程设计报告 设计名称:四路彩灯 姓名学号:秦江 021212224 同实验者:安煜 021212215 指导老师:卓郑安 完成学期: 2013-14(二)

一.目的意义及原理 本次课题设计的目的是: 1.共有四个彩灯,分别实现三个过程,构成一个循共12秒; 2.第一个过程要求四个彩灯依次点亮,共4秒; 3.第二个过程要求四个彩灯依次熄灭,共4秒,先亮者先灭; 4.最后四秒要求四个灯同时亮一下灭一下,共闪4下。 四路彩灯采用的是四位双向通用位移存储器74LS194,将四路彩灯接在Q0到Q4上,SR稳定接高电平,SL稳定接低点位,而DO到D3接周期为1秒的方波信号。CLK的信号频率为2Hz,这样才可以在D0到D3的一个周期内出现CLK的两个上升沿,Q0到Q3分别读到1和0 各一次,如图一。 图一 1Hz和2Hz方波信号 即正确的时钟信号在整个12秒的时间应该是前8秒为1Hz的频率,后4秒变为2Hz的频率,因此用555定时器产生2Hz的方波信号,再用D触发器分频产生1Hz的方波信号,如图二。 图二 1Hz和2Hz方波信号原理图

再来确定S1S0的信号,四种工作方式种剔除第一种S1S0为00的情况,那么S1S0应按01、10、11的顺序循环,可设为一个同步计数器,时钟周期为4秒,共三个状态。 图三四路彩灯原理图 二.Proteus仿真过程 1.元件清单 1)电源统一使用稳压源输出电压。 2)电阻阻值有一些微小变化,以元件中现有的相近阻值替代。 2.电路仿真过程和运行结果

在Proteus中画出用555产生的1Hz和2Hz方波信号仿真图,如下图所示: 图四 555产生的1Hz和2Hz方波信号仿真图 在Proteus中画出四路彩灯仿真图,点击运行,灯泡变亮。如下图所示: 图五四路彩灯仿真图 三.电路焊接与调试 在焊接开始前,首先要对元器件进行确认,是否符合要求并逐一检查是否有缺漏,然后对整个布局进行一个确认以便与焊接方便及美观。由于仿真图和原理

LED循环彩灯课程设计

××学院 《模拟电子技术》课程设计 题目 LED循环彩灯 学生姓名××× 专业班级电科(3)班 学号 201231000 院(系)电气工程学院 指导教师××

完成时间 2013年12月17日

目录 1、课程设计的目的 (1) 2、课程设计的任务与要求 (2) 2.1实验器材 (2) 2.2电子器件的识别 (2) 2.3焊接技术 (2) 2.4元器件安装及要求: (3) 3、设计方案与论证 (3) 3.1功能分析与具体要求 (3) 3.2设计思路 (4) 4、设计原理及功能说明 (4) 5、单元电路的设计(计算与说明) (6) 6、硬件的制作与调试 (7) 7、总结 (10) 参考文献: (12)

附录1:总体电路原理图.......................错误!未定义书签。附录2:元器件清单.. (14)

1、课程设计的目的 当今世界,彩灯已经成为我们生活的一部分,能给我们带来视觉上的享受还能美化我们的环境。街角巷里,高楼大厦无处不是因它炫彩夺目以及控制简单等特点而得到了广泛的应用,用LED彩灯来装饰街道和城市建筑已经成为一种潮流。发光二极管简称为LED。它是一种能发光的半导体电子元件。这种电子元件早在1962年出现,早期只能发出低光度的红光,之后发展出其他单色光的版本,时至今日能发出的光已遍及可见光、红外线及紫外线,光度也提高到相当的光度。具有效率高、寿命长、不易破损、开关速度高、高可靠性等传统光源不及的优点。白光LED的发光效率,在近几年来已经有明显的提升。因此人们现在更侧重于用LED来照明以及装饰屋内及屋外环境,LED广泛应用与生活的各个方面,不断走进人们的生活也不断地改变着人们的生活。 本实验主要是结合我们以往所学课程的基础理论、基本技能和专业知识的能力,不仅要考虑总体电路的设计还要考虑系统各部分电路的选择、设计及它们之间的相互连接。主要目的是在实践中逐步培养我们建立正确的设计思想,掌握工程设计的一般程序、规范和方法。 (1)了解各种元器件的性能、作用和工作原理; (2)掌握一定的焊接技术以及简单元器件装配; (3)学习印刷电路板;

四路彩灯课设

一. 设计目的 1.熟悉常用中规模计数器的逻辑功能。 2.掌握技术、译码电路的工作原理及应用。 3.熟悉移位寄存器的工作原理、典型应用和调试方法。 二、设计任务 设计一个四路彩灯显示系统,要求如下: 1、开机可自动从初始状态按规定程序进行循环演示。 2、彩灯花形由三个节拍组成: 第一节拍:逐次渐亮,灯亮时间1秒,共用4秒; 第二节拍:4路彩灯按逆序渐灭,也需4秒; 第三节拍:4路彩灯同时亮0.5秒,然后同时变暗0.5秒,要进行4次,所需时间也为4秒,3个节拍完成一个循环。 3、彩灯用发光二极管模拟。 三、设计分析 四路彩灯既有四路输出,设依次为d Q、c Q、b Q、a Q,若“1”表示灯亮, “0”表示灯灭,由课题要求可知四路彩灯显示系统要求如下表1所示的输出显示。 表1 四路彩灯输出显示

由上表可知,需要一个分频器起节拍产生和控制作用,每4s 一个节拍,3个节拍共12s 后反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。 分频及节拍控制可以用一个模12计数器来完成;彩灯渐亮、渐灭可以用器件的左移、右移功能来实现,因此可选用移位寄存器74194来完成。同时亮0.5s 、同时灭0.5s 可考虑把1Hz 的秒脉冲信号直接加到输出显示端来完成。 综上所述,要完成四路彩灯显示功能需要有分频器、节拍控制器、节拍程序执行器及脉冲源等电路。 记第一,二,三节拍分别为012Y YY 有效时间应为4秒,0Y 结束1Y 马上开始,1Y 后2Y 马上开始,如此循环不断。为此可考虑采用移位寄存器构成的移位型控制器。由于有三个状态,因此需要用三个触发器对现时状态进行记忆,为使各状态的有效时间间隔为4秒,则驱动该移位控制器动作时钟周期应为4秒。应在开机瞬间,使移位型控制器的状态被确定下来,即012Y YY 节拍应为100,可控制输入信号使触发器置位、复位来实现。 为实现0Y 功能要求器件具有右移功能,为实现1Y 功能要求器件有左移功能;而且左、右移输入可为“0”也可为“1”;为实现2Y 功能,要求器件同时具有并行置数功能。因此可选用一种具有左移、右移和并行置数功能的通用移位寄存器74LS194。74LS194具有并行输入端A 、B 、C 、D ,并行输出端A Q 、B Q 、C Q 、D Q ,右移输入端SR ,左移输入端SL 和模式控制输入端0S ,1S 以及一个无条件直接清除端CLR 。模式控制输入0S ,1S 有00、01、10、11四种组合方式,分别表示双 向移位寄存器所具有的四种功能,即禁止、右移、左移和并行置数。为了使当012Y YY

四路彩灯显示系统逻辑电路设计

哈尔滨工程大学 数字逻辑综合性实验设计报告 课程名称数字逻辑实验 题目名称四路彩灯显示系统逻辑电路设计 班级 学号 学生姓名 同组班级 同组学号 同组姓名 指导教师武俊鹏、孟昭林、刘书勇、赵国冬 2013年06 月

摘要 四路彩灯常见于节庆场合,按照某种规则点亮或者闪烁彩灯,本次数字逻辑电路设计实验主要完成四路彩灯的控制流程,控制流程如下: 第一路彩灯先点亮,然后依次点亮第二路、第三路、第四路; 第四路先灭,然后第三路、第二路、第一路依次灭; 四路彩灯均亮灭,共四次; 从1)开始循环。 本次实验采用中小规模集成电路进行彩灯显示系统的设计,具体使用 74LS161作为循环控制电路,74LS194控制彩灯花型显示,并用若干基本与门、非门、与非门等芯片基本逻辑电路。 关键词:四路彩灯;计数器;移位寄存器;中小规模集成电路;

目录 目录 1 需求分析错误!未定义书签。 基本功能要求错误!未定义书签。 创新拓展功能错误!未定义书签。 设计原理错误!未定义书签。 系统逻辑结构设计错误!未定义书签。 循环控制电路错误!未定义书签。 四路彩灯状态显示错误!未定义书签。 系统物理结构设计错误!未定义书签。 循环控制电路物理结构错误!未定义书签。状态显示电路物理结构错误!未定义书签。完整系统电路物理结构错误!未定义书签。 3 系统实现错误!未定义书签。 系统实现过程错误!未定义书签。 系统测试错误!未定义书签。 系统最终电路图错误!未定义书签。 系统团队分工错误!未定义书签。 4 总结错误!未定义书签。 参考文献错误!未定义书签。

1 需求分析 基本功能要求 用小规模集成电路设计并制作一个四路彩灯显示系统的要求如下: 开机自动置入初始状态后即能按规定的程序进行循环显示。 程序由三个节拍组成: 第一节拍时,四路输出Q1~Q4依次为1,使第一路彩灯先点亮,接着第二路、第三路、第四路彩灯依次点亮; 第二节拍时,Q4~Q1依次为0,使第四路先灭,然后第三路、第二路、第一路彩灯依次灭; 第三节拍时,Q1~Q4输出同时为1态,然后同时为0态,使四路彩灯同时点亮,然后同时灭,共进行4次。 每个节拍费事为4s,执行一次程序共需12s。 用发光二极管显示彩灯系统的各节拍。 创新拓展功能 对四路彩灯所在扩展如下: 增加暂停功能,即在四路彩灯显示系统工作时,可从任意状态暂停,之后可以恢复暂停时的状态,并继续工作; 增加数字显示,用两位十进制数00~11随着彩灯的变化显示12个状态。 设计原理 为保证四路彩灯系统开机后可从初始状态按规定程序进行循环演示,循环控制电路可用74LS161和74LS20实现。彩灯花型显示分为3个节拍,彩灯的三个节拍可以用移位寄存器74LS194实现。彩灯有亮、灭两个状态,此外,还需要设计时钟脉冲产生电路、循环控制电路和彩灯花样输出电路。 由设计要求出发可知彩灯的3个节拍可以用移位寄存器74LS194实现,通过控制S0和S1实现1右移、0左移、送数和通过控制控制清零。第一节拍为1右移,第二节拍为0左移,第三节拍全亮为置数1,全灭为清零。 由于程序循环一次要12s,故需要一个12进制的计数器控制循环。第三节拍时要求1s内全灭全亮各一次,故脉冲信号频率比先前两节拍时脉冲频率要快一倍, 而且要以相同频率控制。可以用一个十六进制计数器产生脉冲信号,一路送到控制十二进制的计数器,一路经逻辑电路送到移位寄存器。 上述原理可用图表示: 显示电路

EDA课程设计八路彩灯控制器样本

EDA课程设计 设计题目: 基于VHDL的8路彩灯控制器设计 一、课程设计的目的 1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。 2.增强自己实际动手能力,独立解决问题的能力。 3.经过课程设计对所学的知识进行更新及巩固. 二、课程设计的基本要求 本次课程设计是设计一个8路彩灯控制器, 能够控制8路彩灯按照两种节拍, 三种花型循环变化。设计完成后, 经过仿真验证与设计要求进行对比, 检验设计是否正确。 三、课程设计的内容 编写硬件描述语言VHDL程序, 设计一个两种节拍、三种花型循环变化的8路彩灯控制器, 两种节拍分别为0.25s和0.5s。三种花型分别是: ( 1) 8路彩灯分成两半, 从左至右顺次渐渐点亮, 全亮后则全灭。 (2)从中间到两边对称地渐渐点亮, 全亮后仍由中间向两边逐次熄灭。 (3)8路彩灯从左至右按次序依次点亮, 全亮后逆次序依次熄灭。 四、实验环境

PC机一台; 软件QuartusⅡ6.0 五、课程设计具体步骤及仿真结果 1、系统总体设计框架结构 分频模块: 把时钟脉冲二分频, 得到另一个时钟脉冲, 让这两种时钟脉冲来交替控制花型的速度。 二选一模块: 选择两种频率中的一个控制彩灯的花型。 8路彩灯的三种花型控制模块: 整个系统的枢纽, 显示彩灯亮的情况。 2、系统硬件单元电路设计 1.分频模块设计 实验程序: library ieee; use ieee.std_logic_1164.all; entity fenpin2 is port( clk:in std_logic; clkk:out std_logic); end fenpin2; architecture behav of fenpin2 is begin

电子技术实验四路彩灯

电子技术实验四路彩灯 Prepared on 22 November 2020

《电子技术实验》四路彩灯显示电路设计报告 年级学院:2014级工程学院 专业班级:电气类1班 姓名:周文青 学号: 指导老师:薛秀云 目录 一、课程设计内容与要求分析 1.1实验目 的……………………………………………………………………………………… (3) 1.2实验内 容……………………………………………………………………………………… ………3二、设计总思路 基本原理…………………………………………………………………………………………… ....3实验流程图 (3) 三、模块化设计 555时基电路 555芯片结构及引脚图 (3) 555引脚功能表 (4) 555构成多谐振荡器 (4) 多谐振荡器参数计算 (5)

74LS161脉冲分频电路 74L S161芯片结构及引脚图 (5) 74L S161功能表 (6) 74L S161组成分频电路 (6) 74LS161组成12进制循环计数器 (6) 74LS194时间控制电路 74L S194芯片结构及引脚图 (7) 74L S194功能表 (8) 3.3.374L S194组成时间控制电 路 (8) 四、全局电路设计 电路功能表……………………………………………………………………………..…………… …9 基于的全局电路仿真 (10) 五、电路调试及仿真结果分析 电路调试的仪器 (10) 电路故障及分析 彩灯无法点亮 (10) 彩灯闪烁功能缺失 (11) 彩灯无规律闪烁 (11)

六、课程设计总结 (11) 七、参考文献..................................................................................................................11八、附录:元器件清单. (12) 一、课程设计内容与要求分析 1.1实验目的: 1、了解电子电路设计的过程 2、掌握电子线路的调试方法 3、系统掌握时序电路的设计与调试 1.2实验内容: 要求开机后彩灯按四个节拍循环工作 1、Q 1、Q 2 、Q 3 、Q 4 依次为1,相应灯依次亮,间隔为1秒 2、Q 4、Q 3 、Q 2 、Q 1 依次为0,相应灯依次灭,间隔为1秒 3、Q 1、Q 2 、Q 3 、Q 4 同时为1,四灯同时亮,间隔为秒 4、Q 1、Q 2 、Q 3 、Q 4 同时为0,四灯同时灭,间隔为秒 5、第(3)和(4)过程重复,共4秒。完成一个循环需要12秒。 二、设计总思路 、基本原理: 由实验要求可知该四路彩灯的状态可以合并为3个节拍,其中脉冲信号由555构成的多谐振荡器产生,3个节拍12秒循环显示可以用74LS161思维二进制加计数器 控制CR或LOAD产生反馈清零的效果;而灯依次亮,依次灭和同时亮同时灭的显示 电路可由芯片74LS194双向移位寄存器构成的电路产生,通过控制 S和1S实现右移、左移和送数,通过控制CR控制清零 、实验流程图 三、模块化设计 、 555时基电路 555芯片结构及引脚图

循环彩灯课程设计知识讲解

循环彩灯课程设计

精品资料 成绩 课程设计说明书 题目:循环彩灯电路设计 课程名称:数字电子技术学 院:电子信息与电气工程学院 学生姓名:李倩 学号: 201102020025 专业班级:电子信息工程2011级1班 指导教师:翟亚芳 2013 年6 月7 日

课程设计任务书

循环彩灯 摘要:设计制作了一个循环彩灯控制电路,该电路可以控制8个彩灯从左到右依次点亮,然后依次熄灭,点亮和熄灭的时间间隔为1秒。电路主要由555定时器、同步十六进制加法计数器74LS161和8位串行输入、并行输出移位寄存器74LS164以及2输入端4与非门74LS00组成。555定时器可以产生振荡周期为1秒的时钟信号;74LS161对时钟信号进行加法计数;74LS00对74LS161产生的信号进行取反,74LS164对 74LS00输出的信号移位寄存输出并驱动对应的发光二极管工作,使其可以达到可以依次点亮依次熄灭的目的。 关键词:555定时器;加法计数器;反相器;移位寄存器;

目录 1.设计背景 (1) 1.1 了解数字电路系统和数字电路的定义和组成 (1) 1.2掌握时钟电路的作用及基本构成 (1) 2.设计方案 (1) 2.1 任务分析…………………………………………………………………… 1 2.2方案论证 (2) 3.方案实施 (2) 3.1 原理图设计………………………………………………………………… 2 3.2电路仿真 (4) 3.3PCB制作 (5) 3.4安装与调试 (6) 4.结果与结论 (6) 5.收获与致谢 (6) 6.参考文献 (7) 7.附件 (7) 7.1电路原理图 (8) 7.2仿真图 (8) 7.3P C B布线图 (9)

相关文档
相关文档 最新文档