文档库 最新最全的文档下载
当前位置:文档库 › 数显声响倒计时电路设计报告1

数显声响倒计时电路设计报告1

数显声响倒计时电路设计报告1
数显声响倒计时电路设计报告1

电子技术综合课程

设计

课程:电子技术综合课程设计

题目:数显声响式倒计时电路

所属院(系) 物电学院专业班级×××

姓名×××学号:×××

指导老师×××

完成地点×××

2014年 09 月20 日

目录

前言 (2)

1 方案论证 (3)

1.1方案一 (3)

1.2方案二 (3)

1.3方案确定 (4)

2 理论设计 (4)

2.1数显、声响倒计时电路的设计思路 (4)

2.2 电源电路的设计 (5)

2.3信号源设计 (6)

2.4复位电路设计 (8)

2.5显示电路 (8)

2.6减法电路: (10)

2.7 声响发生模块 (12)

2.8整体电路图初稿 (13)

3仿真调试及实验装调 (14)

3.1仿真调试 (14)

3.2实验装调 (14)

小结 (17)

附录1 总体电路图 (18)

附录2 作品实物图 (18)

附录3 元器件清单 (20)

附件4器件管脚与功能说明 (21)

前言

在大三第一学期——2014年的九月份,迎来了我大学以来的第一次课程设计。

本次课程设计是针对数字逻辑电路、模拟电子技术及电路分析课程的要求,对我们进行综合性实践训练的实践学习环节,它包括选择课程、电子电路设计、组装。调试和编写总结报告等实践内容。

本次课程设计的目的旨在提高我们的动手实践能力,以及对所学知识的实际应用能力。

感谢校方给了我们这次宝贵的动手实践机会,通过这次课程设计,使我们对以前学过的知识有了更深层面上的理解。实践是检验真理的唯一标准,也是因为有了实践,才能体现得出我们所学知识的价值。这次设计主要锻炼了我们的实践动手能力以及解决简单电路问题的能力。希望学校今后还能多进行类似于课程设计的课程,这样有助于提高学生对所学知识的应用能力。

本次课程设计,我们小组的主要任务是设计并制作一个数显、声响式倒计时电路。其中我主要负责的部分是减法电路和显示电路。

制作数显声响倒计时器的主要要求如下:

1.电路具有0—99秒可预置定时功能。

2.有两个数码管显示计时时间,用一只LED指示计时开始与结束。按预置(开始)按钮,数码管显示定时时间,LED灯不亮;再按预置(开始)按钮,LED亮,倒计时开始。

3.倒计时结束时,计数器停止计数,LED灯不亮。

4.电路具有开机预置数功能。

5.电路具有最后三秒报时功能,要求响半秒停半秒,共三次。用压控陶瓷蜂鸣器作为电声元件。

6.自制本电路所用得直流电源和一秒信号源。

在整个课程设计过程中,遇到了很多的困难与疑惑,不过其间得到了老师的精心指导与同学的热情帮助,这些困难也随之迎刃而解。在这一过程中我深深体会到了学以致用的重要性以及同学之间团队精神的必要性。

1 方案论证

1.1方案一

方案一实现框图如下:

图1 方案一框图

由555定时器产生频率为1HZ ,周期1s ,占空比50%的信号,由两个74LS192构成 10进制减法计数器,由JK 触发器控制74LS192和发光二极管的工作状态,JK 触发器具有置数和复位功能。两个74LS48译码器与两个共阴数码管来对计数器所记得数进行翻译和显示,其中数字的置数可以通过拨码开关来控制。由与非门电路连接的蜂鸣器构成声响模块。 1.2

图2 方案二框图

由555定时器产生频率为1HZ,占空比1/2的信号,由一个74LS190芯片构成 10进制计数器,由JK触发器控制190和发光二极管的工作状态,三态门控制停止电路和声控电路。

1.3方案确定

我们小组设计的是数显声响倒计时电路,这两种方案均能达到题目要求,都能实现二位十进制减法计数功能。但经查阅相关资料,经过小组组员的商榷,并联系我们学习过的数字电子技术基础的知识,由于方案一简单且节约器件,且74LS48、74LS192、74LS76等主要芯片应用较为广泛,具有充分的技术支持资料可供参考。74LS190芯片不具备清除功能,再加上方案一模块化较为清晰,届时实物连接的时候,方便查询系统漏洞,所以小组便选择了方案一为最终方案。

2理论设计

2.1数显、声响倒计时电路的设计思路

选用2个74LS192芯片,它是十进制加、减法计数器。并且在电路选用了555多谐振荡器,它的作用是产生一个1Hz的方波信号来作为秒脉冲,作为它的cp脉冲。选用2片计数器采用并行进位级联的方法构成2位计数状态。因为每个片子以及各种元件均需要一个5V 的直流电源来驱动,故我们还需要用一个5V的变压器,整流桥与一个三端稳压器来设计一个电源。因为我们要的是以秒为单位的计数器,所以我们需要的是1Hz的cp信号,这个可以由555多谐振荡器来完成。555多谐振荡器的功能就是你可以调节它的电阻和电容来得到不同频率和不同占空比的脉冲信号。然后还需要两个74LS48译码器与两个共阴数码管来对计数器所记得数进行翻译和显示。当倒计时显示进行到03,02,01这三个数字得时候就报警,以蜂鸣器响声来表示;这个可以用一个四线与门来实现,四线与门的四个输入分别为十位计数器的进位信号,多谐振荡器的输出端,个位的高两位经过或非输出端与低两位经过或门输出端。然后四线与门的后面接蜂鸣器就可以完成报警功能。系统还能开机复位,包括计数器清零,从而达到课设题目要求。

2.2 电源电路的设计

电源电路原理图:

工频交流

脉动直流

直流

负载

图4 5V 电压源原理

整体电源设计原理为:220V 、50HZ

电源变压器

滤波电路稳压电路输出U0=5V

降压电路:交流电源输入220V 的交流电压,一般情况下需要对交流电压进行处理,而降压电路往往采用变压器直接变压,输出5V 交流电。 整流电路:整流电路一般分为半波整流和全波整流。半波整流具有输出电压高、变压器利用率高、脉动小等优点,因此得到相当广泛的应用,其中桥式整流最为常用,单相桥式整流电路将变压器副边电压从交流变为直流电压。鉴于以上优点,本设计采用了桥式整流。 滤波电路:在整流滤波电路的输出端,并联一个电容即得到电容滤波电路。 稳压电路:我们采用了集成7805稳压器型稳压电路进行稳压。为后面的一切电路提供了稳定的电压。 电源电路如下图:

图5 电源电路

2.3信号源设计

利用555集成定时器,构成多谐振荡器用来产生1Hz、占空比50%的cp信号。

(1)555定时器引脚排列图:

管脚功能如下;

1号管脚:外接电源负端VSS或接地,一般情况下接地。

2号管脚:低触发端。

3号管脚:输出端Vo

4号管脚:是直接清零端。当此端接低电平,则时基电路不工作,此时不论TR、TH处于何电平,时基电路输出为“0”,该端不用时应接高电平。

5号管脚:VC为控制电压端。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只0.01μF电容接地,以防引入干扰。

6号管脚:TH高触发端。

7号管脚:放电端。该端与放电管集电极相连,用做定时器时电容的放电。

8号管脚:外接电源VCC,双极型时基电路VCC的范围是4.5 ~ 16V,CMOS型时基电路VCC的范围为3 ~ 18V。一般用5V

(2)信号源模块电路图:

(3)555定时器功能表:

(4)参数计算:

输出脉冲的占空比为q=T1/T=(R1+R2)/(R1+2R2).为了得到占空比为50%的脉冲,可采

用占空比可调的可调电路。电容的充电电流和放电电流流经不同的路径,充电电流只经过

R1,放电电流只经过R2,因此电容充电时间变为T1=R1CLn2 而放电时间变为T2=R2CLn2,

故输出脉冲占空比为q=R1/(R1+R2)取R1=R2则可得到占空比为50%的信号源。

实验参数:R1=68KΩ,R2=68KΩ,C1= 10nF,C2=10uf,Vcc=5.0 V。

振荡频率为:f=1/T=1/【(R1+2R2)CLn2】

改变振荡频率的方法:通过改变R和C的参数即可改变振荡频率。

2.4复位电路设计

计数器的工作是由信号源与手动开关共同控制的。控制模块是由74LS76J—K触发器构成的 T触发器,它的特性方程是Q*=TQ’+ T’Q,它具有比较强的逻辑功能,具有置1、置0、计数和保持功能。通常在时钟后沿发生翻转。

(1)74LS76管脚图见附录。

(2)JK触发器功能如下:

(3)复位电路如下图:

图7 开机复位电路

2.5显示电路(我主要负责的部分)

显示电路图如下图所示:

图8 显示模块电路

(1)显示电路由两个共阴极数码管、两个74LS48译码器,以及拨码器所构成。电信号通过译码器的译码,传送至两个数码管,显示数字,而拨码器的作用就是一开始的指数功能。

(2)利用译码器将二——十进制(BCD)码转换成七段信号,在驱动器的作用下驱动显示器的a、b、c、d、e、f、g七个发光段,推动发光数码管(LED)进行显示。

LED数码管电路图如下:

(3)显示部分所用译码器为74LS48,它是一个BCD七段译码启动器。

利用译码器将二——十进制(BCD)码转换成七段信号,在驱动器的作用下驱动显示器的a、b、c、d、e、f、g七个发光段,推动发光数码管(LED)进行显示。如图2-4-2 七段显示数码管。

74LS48译码器管脚图见附录。

74LS48的QA,QB,QC,QD,QE,QF,QG为信号输入端,A,B,C,D为输出端。3,4,5管脚为公共端,芯片工作时需接高电平。

设计初期,我们打算使用拨键器来完成0—99的任意预置数,但是到真正的实践环节,由于拨键器的管脚太短,不能保证和面包板内部的良好接触,最后我们就放弃使用拨键器。通过使十位的74LS192芯片的10管脚接高电平(即输入端D3-D0是0001),然后使个位的74LS12芯片的10和15管脚接高电平(即输入端D3-D0是0101)从而得到预置数15.

2.6减法电路(我主要负责的部分)

利用两片74LS192实现十进制计数功能。74LS192具有双时钟输入并具有清除和预置数功能。

(1)通过对两片192的级联便可实现0-99的置数倒计.接好芯片,加好电源,地,等.在输入端我们设置好初始值,再令置数端有效即可预设初值.两片芯片采用串行连接方式连接,将低位借位信号加在高位CP端即可.

74LS92的管脚及功能如下

74LS192的引脚排列及逻辑符号

(a)引脚排列 (b) 逻辑符号

A.15、1、10、9管脚(P0-P3):并行数据输入端

B. 13管脚(TCD)′:借位输出端(低电平有效)

C.12管脚(TCu)′:进位输出端(低电平有效)

D.4管脚CPD:减法计数时钟输入端(上升沿有效)

E. 5管脚CPU:加法计数时钟输入端(上升沿有效)

F. 14管脚MR:异步清零端

G. 11管脚:(PL)′:异步并行置入控制端(低电平有效)

H. 3、2、6、7管脚(Q0-Q3):输出端

异步清除:当MR=1时,无论有无CP,计数器立即清零,Q3~Q0均为0,称之为异步清除。

预置数:当PL’=0时,Q3=D3,Q2=D2,Q1=D1,Q0=D0. 称之为预置数。本电路中需将MR端置0,PL’置1,CPU置1,CPD为上升沿时,则74LS192执行减法计数功能。(2)Q0、Q1、Q2、Q3为数据输出端其功能表如下:

(3)减法计数器图如下:

图9 减法电路设计图

2.7 声响发生模块

据任务书要求,电路具有最后3秒报时功能。要求响半秒,停半秒共三下;这一功能的实现思路为:最后三秒响,那么就将最后三秒的信号取出;其半秒信号的报时可由信号源控制,因为信号源是一个占空比为50%的1HZ信号源,其波形中1秒的周期内有50%T即半秒的时间是高电平,那么高电平就可以驱动蜂鸣器报警;所以报警电路是由如上图所示的或门、非门、与门构成,依据上图所示,按从上往下的顺序依次连入输入端的是个位计数器的四个输出端口和74LS192减法计数器的借位输出和Q端(占空比为50%的1HZ信号源),该六位最终经过或非门74LS192当其高位片为0。B0为借位信号会产生一高电平。而Q0-Q3均为底位片当03,02,01秒到来时,就会依课程要求最后3秒响半秒停半秒。

声响电路如下:

图10 声音发生模块电路

2.8整体电路图初稿

设计电路最简便快捷的方法就是把整体电路分为不同的小模块,一开始便以模块为单位进行调试,当每个模块都确保无误之后,再将每个模块连接在同一电路中,再进行调试,直至达到预期效果。本次课程设计,不论是仿真还是面包板的插接,均采用这种思想。

下图是将诸多模块拼接而成的初始电路图,基本功能均已实现,但未进行进一步修改,

美观程度上欠佳,最终修缮完成的电路图请见附录1:

3 仿真调试及实验装调(包括故障及处理)

3.1仿真调试

先进行各个模块电路图的连接,完成一个电路后先进行调试,调试完毕后再进行下一模块的安装与调试,这样连接比直接连整体电路调试容易得多。

装调步骤如下:

1、电源的制作与调试。

2、1秒信号源的连接与调试。

3、开机复位模块电路调试

4、译码显示模块的连接和调试。

5、报警电路的连接和调试。

在确保每个模块正确无误后再另外创建一个文件,将各个模块电路进行连接。再进行整体电路的调试。

3.2实验装调

(1)电源模块连接调试:

因为之前电子电工实习做过5V电源,但是因为时间较长,以前的电源恐不能正常工作,所以小组讨论最终决定,按照电路重新制作一电源,因为之前只

做过类似电源,所以电源模块的制作还是相对顺利的。

(2)信号源模块连接调试:

按照设计好的信号源电路连接好电路,经过公式计算,两个电阻的组织应该在68ΩK左右,之后听从老师建议,选用了电位器来进行连接,在实际电路

中,将型号为104的电位器阻值100K的电阻一分为二,然后在将两个电容并

联,一个大小为10uf,另一个为3,3uf。由于4端口没有使用,为了排除干扰,接一个0,01uf电容用来排除干扰。电路中的两个二极管的作用就是在电路充放

电过程中,为了减少干扰,使波形更加准确。

555定时器电路在本作品中起关键作用,定时器无法正常工作,减法器没有检测到输入的脉冲信号,显示的数字就无法变化。为了能够直观的观察定时

器是否正常工作,在定时器的输出端连接一个发光二极管。当发光二极管一闪

一灭的时候,就代表定时器正常工作能产生脉冲信号了。

(3)开机复位模块电路连接调试:

开机复位模块依旧是按照电路图进行连接,然后与其他模块进行连接,才能体现出其功能。

在连接复位模块的过程中,发现一个比较普遍的问题,按键开关有时会失效。经过分析,这可能和按键开关的接触是否正常有关系,而且还和按键开关

的质量好坏有一定的关系。

(4)译码显示模块连接与调试:

数码管公共端接高电平,然后用电源的正极分别测试各个管脚。确定每个数码管都正常。加限流电阻,发光二极管的工作电压一般在1.8V--2.2V,为计

算方便,通常选2V即可!发光二极管的工作电流选取在10-20ma,在将译码器

和数显管连接好,给译码器输入端置数,看数码管的显示是否正确。注意:接

线时电源线及地线颜色要区分开。

在两个74LS192芯片周围,导线数量非常多,所以在连接过程中,一定要耐心和细心,谨防出现错误。出现了错误也不必慌张,一定要耐心的检查错误,一条一条线路检查,避免疏漏。

(5)报警电路连接与调试:

报警电路可谓是我认为此课题中颇感困难的一个模块,在仿真图中,就是一个个门电路组成的报警模块,但是到了实际连线过程中,一个个门电路变成了一个个芯片,要完成对这些芯片的连接,必须要要对每个芯片的管脚以及内部结构有充分的认识,而且连接过程中一定要细致,稍有不慎,变回酿成大错。

在连接此模块电路的过程中,我们将打印好的管脚图放在一旁,变连接边对照管脚图,同时保证每个芯片的VCC接高电平,GND接地。

最终,各个模块链接完成后,还是按照仿真的思想进行实物连接。插接面包板的过程中,减法电路,显示部分电路,LED及复位部分电路的实物连接很

快就连接好了,但信号源及报警电路部分出现了很大问题。

信号源部分是由于我们开始不太懂这部分的电路图原理,只是按照图中的节点来连接,用了很多多余的线,而且还得不到所要的方波。最后在老师的帮助下,我们了解了按充电放电回路来连接,很快就准确无误的连接好了。

报警部分有问题一开始我们就想到是不是芯片有问题,于是我们就把这部分的芯片放到别人做好的面包板检测,结果发现是四输入与门74LS20芯片没有工作,但是换了芯片之后还是没有报警,就换种思路说是不是本身我们连接的电路就有问题,我们四个人认真检查后发现连线没问题,只好请教老师,老师提醒我们说别看接线无误,有可能你插的线根本就没插到面包板的卡口,并且建议我们逐层排查,由于我们本身的实验技能就不是很好,最后还是老师帮助我们排查,发现两个或非门的输出端再经过一个与门连接后的输出端的高电平送不到与报警器连接的那个与门的输入端,我们就再重新接了条线,报警就正常了。

小结

这次课程设计过后,感觉收获良多,自己对之前学过的数字电路、模拟电路的相关知识有了更深层次上的理解。而且之前对书本上的知识有所理解,而到了实际实用软件仿真的时候,显得有些不知所措,因为之前我们之间有很多人的确没有接触过仿真类的软件,或者是接触的比较少。面对陌生的软件,陌生的界面,我们小组内部共同协作,互帮互助,共同讨论,不同小组之间共享有关软件的资料,一起解决问题。在这个过程中,我们提升了许多,知道了许多。使用软件进行仿真没过多久,组员便对软件的常用功能和器件有所了解,推动了课程设计的进度。

在插接面包板的过程中,更是感触颇深。看着简洁的仿真电路,再连接实际电路,发现那并没有那么容易。连接电路过程中深深地感受到仿真和实际竟然相差如此遥远。可以说,连接面包板的过程,是一段极其痛苦的过程,稍有不慎,便会错接电路而导致严重的后果。通过这次课设,不但锻炼了自己对专业软件的使用能力,也提高了在面包板插接电路的能力。

说实话,我自身很不擅长连接面包板,但是就因为不擅长,自己才会更加努力的去做,不擅长连接电路,并不会成为我退缩的理由!

总之,不管学会的还是学不会的,的确觉得困难比较多,万事开头难,开始确实不知如何入手。最后终于做完了有种如释重负的感觉。此外,还得出一个结论:知识必须通过应用才能实现其价值!有些东西以为学会了,但真正到用的时候才发现是两回事,所以我认为只有到真正会用的时候才是真的学会了。

在此要感谢我们的指导老师对我们悉心的指导与帮助。在设计过程中,我通过查阅有关资料,与同学交流经验和自学等方式,使自己学到了不少知识,也经历了不少艰辛,但收获同样巨大。感谢我的小伙伴们!在整个电路的设计中我懂得了许多东西,既培养了我独立工作的能力,也让我懂得了团队之间合作的重要性,一个人的力量再大,他也需要合作展现自己的价值,而与他人合作可以取其长补其短,在为了同一个目标共同努力的过程是最可贵的,相信会对今后的学习工作生活有非常重要的影响。而且大大提高了动手的能力,使我充分体会到了在创造过程中探索的艰难和成功时的喜悦。

希望今后制作东西的过程中,也能传承这次课设的精神,充分发挥此次课设的价值,认真提炼课设带给我们的精神,使得我们在通信这条路上,继续坚定不移的走下去!

附录1 总体电路图

附录2作品实物图:

附录3元器件清单

集成电路设计实验报告

集成电路设计 实验报告 时间:2011年12月

实验一原理图设计 一、实验目的 1.学会使用Unix操作系统 2.学会使用CADENCE的SCHEMA TIC COMPOSOR软件 二:实验内容 使用schematic软件,设计出D触发器,设置好参数。 二、实验步骤 1、在桌面上点击Xstart图标 2、在User name:一栏中填入用户名,在Host:中填入IP地址,在Password:一栏中填入 用户密码,在protocol:中选择telnet类型 3、点击菜单上的Run!,即可进入该用户unix界面 4、系统中用户名为“test9”,密码为test123456 5、在命令行中(提示符后,如:test22>)键入以下命令 icfb&↙(回车键),其中& 表示后台工作,调出Cadence软件。 出现的主窗口所示: 6、建立库(library):窗口分Library和Technology File两部分。Library部分有Name和Directory 两项,分别输入要建立的Library的名称和路径。如果只建立进行SPICE模拟的线路图,Technology部分选择Don’t need a techfile选项。如果在库中要创立掩模版或其它的物理数据(即要建立除了schematic外的一些view),则须选择Compile a new techfile(建立新的techfile)或Attach to an existing techfile(使用原有的techfile)。 7、建立单元文件(cell):在Library Name中选择存放新文件的库,在Cell Name中输 入名称,然后在Tool选项中选择Composer-Schematic工具(进行SPICE模拟),在View Name中就会自动填上相应的View Name—schematic。当然在Tool工具中还有很多别的

倒计时数字电路

合肥学院 ED综合设计报告 题目:倒计时数字电子电路 班级:11级电子信息工程(2)班组员:潘凌林、夏雪、籍家兴 指导老师:高先和、姚红

一、实验目的 1、掌握任意时间倒计时器工作原理; 2、学会对原理图进行合理的布线; 3、锻炼对电路板进行焊接的能力; 4、加深对74LS161、08、192等芯片工作原理的了解。 二、实验要求 (1)设计倒计时器的电路图; (2)选择合适的芯片; (3)倒计时器具有预置数功能。 三、实验设备 74LS192*3, 74LS08*2, 74LS03, 74LS04,74LS48*2,555,电阻10KR和100R,万能板,5V稳压电源,按键开关,相关焊接工具。 四、实验原理 1.设计思路 我们选用的器材有3个74LS192,它是加、减十进制计数器,选用了555多谐振荡器,它能产生一个1hz的方波信号来作为秒脉冲,作为它的cp脉冲。又因为我们要选用2片计数器

构成2位计数的状态,固要采用计数器的级联的方法,我们选用的是并行进位的级联,因为这个大大的加快了运行的速度。因为每个片子需要一个5V的直流电源来驱动,故我们还需要用一个7V的变压器,整流桥与一个三端稳压器来设计一个电源。因为我们要的是以秒为单位的计数器,所以我们需要的是1hz的cp信号,这个可以由555多谐振荡器来完成。555多谐振荡器的功能就是你可以调节它的电阻和电容来得到不同频率和不同占空比的脉冲信号。然后还需要两个74LS48译码器与两个共阴数码管来对计数器所记得数进行翻译和显示。当倒计时显示进行到03,02,01这三个数字得时候就报警,以蜂鸣器响声来表示;这个可以用一个四线与门来实现,四线与门的四个输入分别为十位计数器的进位信号,多谐振荡器的输出端,个位的高两位经过或非输出端与低两位经过或门输出端。然后四线与门的后面接蜂鸣器就可以完成报警功能。系统还能开机复位,包括计数器清零。 2.原理图

集成电路设计基础_期末考试题

集成电路设计基础 2010-11年第一学期试题 一、填空题(20分) 1、目前,国内已引进了12英寸0.09um 芯片生产线,由此工艺线生产出来的集成 电路特征尺寸是0.009um (大 小),指的是右图中的W (字 母)。 2、CMOS工艺可分为p阱、n阱、双阱 三种。 在CMOS工艺中,N阱里形成的晶体管是p (PMOS,NMOS)。 3、通常情况下,在IC中各晶体管之间是由场氧来隔离的;该区域的形成用到的制造工艺是氧化工艺。 4.集成电路制造过程中,把掩膜上的图形转换成晶圆上器件结构一道工序是指光 刻,包括晶圆涂光刻胶、曝光、显影、烘干四个步骤; 其中曝光方式包括①接触式、②非接触式两种。 5、阈值电压V T是指将栅极下面的si表面从P型Si变成N型Si所必要的电压,根据阈值电压的不同,常把MOS区间分成耗尽型、增强型两种。降低V T 的措施包括:降低杂质浓度、增大Cox 两种。 二、名词解释(每词4分,共20分) ①多项目晶圆(MPW) ②摩尔定律 ③掩膜 ④光刻

⑤外延 三、说明(每题5分共10分) ①说明版图与电路图的关系。 ②说明设计规则与工艺制造的关系。 四、简答与分析题(10分) 1、数字集成电路设计划分为三个综合阶段,高级综合,逻辑综合,物理综合;解释这 三个综合阶段的任务是什么? 2、分析MOSFET尺寸能够缩小的原因。 五、综合题(共4小题,40分) 1、在版图的几何设计规则中,主要包括各层的最小宽度、层与层之间的最小间距、各 层之间的最小交叠。把下图中描述的与多晶硅层描述的有关规则进行分类: (2)属于层与层之间的最小间距的是: (3)属于各层之间的最小交叠是: 2.请提取出下图所代表的电路原理图。画出用MOSFET构成的电路。

《集成电路设计》课程设计实验报告

《集成电路设计》课程设计实验报告 (前端设计部分) 课程设计题目:数字频率计 所在专业班级:电子科 作者姓名: 作者学号: 指导老师:

目录 (一)概述 2 2 一、设计要求2 二、设计原理 3 三、参量说明3 四、设计思路3 五、主要模块的功能如下4 六、4 七、程序运行及仿真结果4 八、有关用GW48-PK2中的数码管显示数据的几点说明5(三)方案分析 7 10 11

(一)概述 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得十分重要。测量频率的方法有多种,数字频率计是其中一种。数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,是一种用十进制数字显示被测信号频率的数字测量仪器。数字频率计基本功能是测量诸如方波等其它各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。 频率计的基本原理是应用一个频率稳定度高的时基脉冲,对比测量其它信号的频率。时基脉冲的周期越长,得到的频率值就越准确。通常情况下是计算每秒内待测信号的脉冲个数,此时我们称闸门时间是1秒。闸门时间也可以大于或小于1秒,闸门的时间越长,得到的频率值就越准确,但闸门的时间越长则每测一次频率的间隔就越长,闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。 本文内容粗略讲述了我们小组的整个设计过程及我在这个过程中的收获。讲述了数字频率计的工作原理以及各个组成部分,记述了在整个设计过程中对各个部分的设计思路、程序编写、以及对它们的调试、对调试结果的分析。 (二)设计方案 一、设计要求: ⑴设计一个数字频率计,对方波进行频率测量。 ⑵频率测量可以采用计算每秒内待测信号的脉冲个数的方法实现。

任意时间倒计时计数器的设计

任意时间倒计时计数器的设计 摘要:本设计实现任意秒数倒计时的功能,首先利用555定时器连接电阻和电容改装成多谐振荡器,将输入脉冲经电路转换输出矩形波,并改变电容和电阻的参数使输出周期为1秒。计数部分用74LS192芯片来实现,74LS192芯片是8421码计时的,符合任意几十秒读数的需要。译码部分采用74LS48芯片,74LS48是把8421BCD码经过内部作用和电路“翻译”成七段输出,然后直接推动LED,显示十进制数。此设计功能完善,可以直接清零,启动和暂停/连续计时, 进而实现断点计时,同时还应用了七段数码管来显示时间。当计数器显示的数字递减到零的时候,会发出光电报警信号。其设计由计时模块、控制模块、以及译码显示模块3个部分组成。 关键词:计时器;报警;芯片模块化

The Design of Any Time Countdown Counter Abstract:The design implements the function of 30 seconds countdown , At first ,use a 555 timer concussion connected with resistor and capacitor converted into a multivibrator, which can inverter the input pulse into a circuit output square wave,and change the parameters of capacitance and resistance so that the output cycle is a second. The counting part is realized by 74ls192 chip,and 192 chip is timed at 8421 yards , which can meet the need of 30 seconds reading. Decoding part adopts 74ls48 chip, 74ls48 is at the 8421 BCD by internal function and circuit "translate" into seven period of output, then directly promote LED, and shows a decimal number.The function of this design is perfect, it can directly reset, start and stop/continuous time, then realize breakpoint time, at the same time it also uses seven digital tube to display time. When the counter of the digital display diminishing to zero, it will have a photoelectric alarm signal. It is designed by hourly module, control module, and decode display module 3 parts. Keywords: The timer photoelectric; Alarm; Modular

电路原理图设计及Hspice实验报告

电子科技大学成都学院 (微电子技术系) 实验报告书 课程名称:电路原理图设计及Hspice 学号: 姓名: 教师: 年06月15日 实验一基本电路图的Hspice仿真 实验时间:同组人员: 一、实验目的 1.学习用Cadence软件画电路图。 2.用Cadence软件导出所需的电路仿真网表。 3.对反相器电路进行仿真,研究该反相器电路的特点。 二、实验仪器设备 Hspice软件、Cadence软件、服务器、电脑 三、实验原理和内容 激励源:直流源、交流小信号源。 瞬态源:正弦、脉冲、指数、分线段性和单频调频源等几种形式。 分析类型:分析类型语句由定义电路分析类型的描述语句和一些控制语句组成,如直流分析(.OP)、交流小信号分析(.AC)、瞬态分析(.TRAN)等分析语句,以及初始状态设置(.IC)、选择项设置(.OPTIONS)等控制语句。这类语句以一个“.”开头,故也称为点语句。其位置可以在标题语句之间的任何地方,习惯上写在电路描述语句之后。 基本原理:(1)当UI=UIL=0V时,UGS1=0,因此V1管截止,而此时|UGS2|> |UTP|,所以V2导通,且导通内阻很低,所以UO=UOH≈UDD,即输出电平. (2)当UI=UIH=UDD时,UGS1=UDD>UTN,V1导通,而UGS2=0<|UTP|,因此V2截止。此时UO=UOL≈0,即输出为低电平。可见,CMOS反相器实现了逻辑非的功能. 四、实验步骤

1.打开Cadence软件,画出CMOS反相器电路图,导出反相器的HSPICE网表文件。 2.修改网表,仿真出图。 3.修改网表,做电路的瞬态仿真,观察输出变化,观察波形,并做说明。 4.对5个首尾连接的反相器组成的振荡器进行波形仿真。 5.分析仿真结果,得出结论。 五、实验数据 输入输出仿真: 网表: * lab2c - simple inverter .options list node post .model pch pmos .model nch nmos *.tran 200p 20n .dc vin 0 5 1m sweep data=w .print v(1) v(2) .param wp=10u wn=10u .data w wp wn 10u 10u 20u 10u 40u 10u 40u 5u .enddata vcc vcc 0 5 vin in 0 2.5 *pulse .2 4.8 2n 1n 1n 5n 20n cload out 0 .75p m1 vcc in out vcc pch l=1u w=wp m2 out in 0 0 nch l=1u w=wn .alter vcc vcc 0 3 .end 图像: 瞬态仿真: 网表: * lab2c - simple inverter .options list node post .model pch pmos .model nch nmos .tran 200p 20n .print tran v(1) v(2) vcc vcc 0 5 vin in 0 2.5 pulse .2 4.8 2n 1n 1n 5n 20n cload out 0 .75p m1 vcc in out vcc pch l=1u w=20u

模拟集成电路设计期末试卷

《模拟集成电路设计原理》期末考试 一.填空题(每空1分,共14分) 1、与其它类型的晶体管相比,MOS器件的尺寸很容易按____比例____缩小,CMOS电路被证明具有_ 较低__的制造成本。 2、放大应用时,通常使MOS管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来 表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输 出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制 沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容C in为__ C F(1-A)__。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 1、阱 解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,V GS=V TH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当V GS

倒计时定时器电路设计

目录 摘要 (1) 第1章概述 (2) 第2章电路设计方案 (3) 2.1 总体电路设计方案 (3) 2.2 单元功能模块设计 (4) 2.2.1 秒信号发生器 (4) 2.2.260分频器电路设计 (5) 2.2.3 减法计数器 (6) 2.2.4 译码显示电路 (9) 2.2.5 执行电路 (11) 第3章整机电路原理 (13) 第4章仿真 (14) 总结 (16) 致谢 (17) 参考文献 (18) 附录1电路原理图 (19) 附录2仿真图 (20)

摘要 本设计主要由减法计数器、译码显示器、秒信号发生器、分频器、执行路等构成,能实现倒计时、定时和控制执行电路工作的功能。 倒计时计数末了时,继电器动作,控制用电器动作。其中时钟信号是由多谐振荡器产生的1Hz秒脉冲信号,而且秒脉冲信号可以通过分频器产生出1/60Hz的分脉冲信号,然后通过开关选择秒脉冲或分脉冲信号通过开关选择输入电路,对倒计时计数器进行触发,计数器由置数开关输入的预置数开始进行计数,其中数字由译码数码管显示,直到倒计时末了,产生信号使继电器工作,从而通过控制开关控制受控电器的开或关。 所以,此设计相当于构造了一个电器的控制开关,能够灵活定时电器的工作时间,从而使电器的开关更加方便。 关键词计数器;译码器;显示器;分频器

第1章概述 倒计时计数器的用途很广泛。它可以用作定时,控制被定时电器的工作状态,实现定时开或者定时关,最长定时时间为99分钟。他还可以用做倒记时记数,最长记时时间为99秒,而且有二位数码管显示记数状态,可以灵活的对受控电器进行定时开关。 倒计时计时器的核心器件是可预制数减计数器IC3、IC4,其初始数由拨码开关S1、S2设定,其输出状态由BCD码七段译码器IC1、IC2译码后驱动LED数码管显示。门电路D1、D2产生秒信号脉冲,以及经IC5等60分频后得到的分信号脉冲。由开关S4选择后作为时钟脉冲送入减计数器的CP端。 当按下启动按钮S3后,S1、S2设定的预置数进入减计数器,数码管显示出该预置数,然后计数器就在时钟脉冲CP的作用下减计数,数码管做同步显示。当倒计时结束,减计数器显示为00时,输出高电平使VT1、VT2导通,继电器K1吸合,其常开接点K1-1闭合,接通被控电器,被控电器开始工作;其常闭接点K1-2断开,切断被控电器,使此工作结束工作。同时,自带音源讯响器发出提示音。 在这个数字化的时代,倒计时定时器随处可见,在人们的生活和工作中,倒计时定时器的应用也越来越广泛。在医学设备、在交通方面、比赛场合等,特别是在一些数字化、智能化设备上倒计时定时器得到了很好的应用。我相信,倒计时定时器的发展前景会越来越好。

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

cmos模拟集成电路设计实验报告

北京邮电大学 实验报告 实验题目:cmos模拟集成电路实验 姓名:何明枢 班级:2013211207 班内序号:19 学号:2013211007 指导老师:韩可 日期:2016 年 1 月16 日星期六

目录 实验一:共源级放大器性能分析 (1) 一、实验目的 (1) 二、实验内容 (1) 三、实验结果 (1) 四、实验结果分析 (3) 实验二:差分放大器设计 (4) 一、实验目的 (4) 二、实验要求 (4) 三、实验原理 (4) 四、实验结果 (5) 五、思考题 (6) 实验三:电流源负载差分放大器设计 (7) 一、实验目的 (7) 二、实验内容 (7) 三、差分放大器的设计方法 (7) 四、实验原理 (7) 五、实验结果 (9) 六、实验分析 (10) 实验五:共源共栅电流镜设计 (11) 一、实验目的 (11) 二、实验题目及要求 (11) 三、实验内容 (11) 四、实验原理 (11) 五、实验结果 (14) 六、电路工作状态分析 (15) 实验六:两级运算放大器设计 (17) 一、实验目的 (17) 二、实验要求 (17) 三、实验内容 (17) 四、实验原理 (21) 五、实验结果 (23) 六、思考题 (24) 七、实验结果分析 (24) 实验总结与体会 (26) 一、实验中遇到的的问题 (26) 二、实验体会 (26) 三、对课程的一些建议 (27)

实验一:共源级放大器性能分析 一、实验目的 1、掌握synopsys软件启动和电路原理图(schematic)设计输入方法; 2、掌握使用synopsys电路仿真软件custom designer对原理图进行电路特性仿真; 3、输入共源级放大器电路并对其进行DC、AC分析,绘制曲线; 4、深入理解共源级放大器的工作原理以及mos管参数的改变对放大器性能的影响 二、实验内容 1、启动synopsys,建立库及Cellview文件。 2、输入共源级放大器电路图。 3、设置仿真环境。 4、仿真并查看仿真结果,绘制曲线。 三、实验结果 1、实验电路图

数电课程设计-30秒倒计时器

. 定时电路的设计 ——数字逻辑课程设计 学院:计算机学院 专业班级:计科13

时间:2015年1月4日 目录 设计要求 (3) 正文 一、倒计时器组成及原理 (3) 1.1倒计时计数器组成 (3) 1.2工作原理 (3) 二、拟定设计方案 (4) 2.1用Multisim进行仿真设计 (4) 2.2设计实现数码管显示 (4) 2.3设计555定时振荡实现秒振荡发生功能 (4) 2.4设计实现减法计数功能 (5) 2.5设计实现二位数减法计数功能 (5) 2.6设计实现反馈电路实现30秒计数功能 (5) 2.7设计实现控制电路实现启动、清零/复位和暂停/继续计数控制电路 (5) 2.7.1清零/复位电路 (5) 2.7.2暂停/继续计数电路 (6) 2.7.3启动电路 (7) 2.8设计实现闪烁报警电路 (8) 三、功能说明总结 (9) 四、课程设计小结 (9) 参考文献 (10) 附录: 一、电路原理图 (11) 二、元器件明细表 (11)

设计要求: 设计30秒倒计时计数器。 30秒倒计时器的设计功能要求包括: 1.具有30S减计时功能,计时时间到后发出声光报警信号(点亮LED,喇叭鸣叫); 2.计时时间精确(用石英振荡器产生秒信号); 3.用数码管显示剩余时间; 4.具有复位、启动、暂停、继续等操作按钮; 正文: 一、倒计时器组成及原理 1.1倒计时计数器组成 倒计时计数器选用TTL集成电路,主要由秒定时振荡发生器、减法计数器、译码器、七段数码显示器、控制电路、闪烁报警电路等组成,在电路工作过程中,电路能够通过控制器实现开始计数、清零/复位、暂停/继续计数等功能,在倒计时结束保持00状态并不断闪烁提示报警,原理图如下: 图1 1.2工作原理 当电路工作时,由555定时器组成多谐振荡器,选取适当的电容使振荡周期为1s;用两片减法计数器芯片级联组成二位数计数器,用七段数码管显示计数;控制电路通过控制减

电子电工综合实验报告

电工电子综合试验——数字计时器实验报告 学号: 姓名: 学院: 专业:通信工程

目录 一,实验目的及要求 二,设计容简介 四,电路工作原理简述 三,设计电路总体原理框图五,各单元电路原理及逻辑设计 1. 脉冲发生电路 2. 计时电路和显示电路 3. 报时电路 4. 较分电路 六引脚图及真值表

七收获体会及建议 八设计参考资料 一,实验目的及要求 1,掌握常见集成电路实现单元电路的设计过程。 2,了解各单元再次组合新单元的方法。 3,应用所学知识设计可以实现00’00”—59’59”的可整点报时的数字计时器 二,设计容简介: 1,设计实现信号源的单元电路。( KHz F Hz F Hz F Hz F1 4 , 500 3 , 2 2 , 1 1≈ ≈ ≈ ≈ ) 2,设计实现00’00”—59’59”计时器单元电路。 3,设计实现快速校分单元电路。含防抖动电路(开关k1,频率F2,校分时秒计时器停止)。4,加入任意时刻复位单元电路(开关K2)。 5,设计实现整点报时单元电路(产生59’53”,59’55”,59’57”,三低音频率F3,59’59”一高音频率F4)。 三,设计电路总体原理框图 设计框图: 四,电路工作原理简述 电路由振荡器电路、分频器、计数器、译码器、显示器、校时电路和报时电路组成。振荡器产生的脉冲信号经过十二级分频器作为秒脉冲,秒脉冲送入计数器,计数器通过“时”、“分”、“秒”译码器显示时间,将分秒计时器分开,加入快速校分电路与防抖动电路,并控制秒计

时器停止工作。较分电路实现对“分”上数值的控制,而不受秒十位是否进位的影响,在60进制控制上加入任意时刻复位电路。报时电路通过1kHz或2kHz的信号和要报时的时间信号进行“与”的运算来实现的顶点报时的,通过两个不同频率的脉冲信号使得在不同的时间发出不同的声响。 五,各单元电路原理及逻辑设计 (1)脉冲发生电路 脉冲信号发生电路是危机时期提供技术脉冲,此次实验要求产生1HZ的脉冲信号。用NE555集成电路和CD4040构成。555定时器用来构成多谐振荡器,CD4040产生几种频率为后面电路使用。 实验电路如下(自激多谐振荡电路,周期矩形波发生电路) 震荡周期T=0.695(R1+2*R2)C,其中R1=1KΩ,R2=3KΩ,C=0.047uf,计算T=228.67*10-6 s ,f=4373.4Hz产生的脉冲频率为4KHz,脉冲信号发生电路 和CD4040连接成如图所示的电路,则从Q12输出端可以得到212分频信号F1,即1Hz的信号,Q11可以得到F2即2Hz的信号提供给D触发器CP和校分信号,Q3输出分频信号500Hz,Q2输出1KHz提供给报时电路 二,秒计时电路 应用CD4518及74LS00可以设计该电路,CD4518是异步清零,所以在进行分和秒十位计数的时候,需要进行清零,而在个位计数的时候不需要清零。所以Cr2=2QcQb,Cr4=4Qc4QB。当秒个位为1001时,秒十位要实现进位,此时需要EN2=1Qd,同理分的个位时钟EN3=2Qc,分十位时钟端EN4=3Qd。因此,六十进制计数器逻辑电路如下图所示

《模拟集成电路设计》复习

《模拟集成电路设计》复习 答疑安排: 第13周星期二(5月29日),上午9:00-11:30,下午14:30-17:00,工三310 考试题型: 七道大题:第2章一题,第3、4章各两题,第5章一题,第6、7章共一题 考试注意事项: 所有题目采用课本P32表2.1的数据,V DD=3V,C OX=3.84 10-7F/cm2,忽略漏/源横向扩散长度L D。试题会给出所需参数值。 时刻区分大信号、小信号。 时刻注意是否考虑二级效应。 题目有“推导”两字时,需给出求解过程。 必考:画小信号等效电路 复习题 例2.2补充问题:(1)分析MOS工作区间变化情况;(2)画出I D-V DS 曲线;(3)推导线性区跨导表达式。 习题2.2注意:跨导的单位。

习题2.3补充问题:给定参数值,计算本征增益的数值。注意:画曲线时需考虑λ与L的关系。 例3.5 补充问题:画出图3.21(b)电路的小信号等效电路,推导增益表达式。 习题3.2问题(b)删去。补充问题:求R out。 习题3.12解题思路:I1→V out→V GS2→(W/L)2→A v 习题3.14 输出摆幅=V DD-V OD1-|V OD2|。 解题思路:A v,R out→g m1→(W/L)1→V OD1→|V OD2|→(W/L)2 第4章课件第49页的题目差模增益-g m1(r o1||r o3),共模增益0,共模抑制比+∞ 例4.6 习题4.18 只要求图4.38(a)-(d)。补充问题:画出半边电路。注意:画半边电路时去掉电流源M5。 习题4.25 计算过驱动电压V OD时忽略沟道长度调制效应。注意双端输出摆幅为单端时的2倍。 习题5.1问题(e)删去。问题(c)和(d)有简单的计算方法。 习题5.5问题(b)(c)删去。λ=0。 例6.4补充问题:画出低频小信号等效电路,推导低频小信号增益;写出C D、C S分别包含哪些MOS电容。 习题6.9 只要求图6.39(a)(b)(c)。 例7.11只计算热输入参考噪声电压。 习题7.11补充问题:推导小信号增益。

中山大学数字电路与逻辑设计实验报告

中山大学数字电路与逻辑设计实验报告 院系信息科学与技术学院学号 专业计算机科学类实验人 3、实验题目:AU(Arithmetic Unit,算术单元)设计。 实验内容: 设计一个半加半减器,输入为 S、A、B,其中S为功能选择口。当S=0时,输出A+B及进位;当S=1时,输出A-B及借位。 S 输入1 输入2 输出Y 进/借位Cn 0 A B A+B 进位 1 A B A-B 借位 利用三种方法实现。 (1)利用卡诺图简化后只使用门电路实现。 (2)使用74LS138实现。 (3)使用74LS151实现,可分两次单独记录和/差结果、进位借位结果或使用两块74LS151实现。 实验分析: 真值表 S A B Y Cn 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 0 0 1 0 1 1 1 1 1 0 1 0 1 1 1 0 0 卡诺图: S AB 0 1 通过卡诺图可得:Y=A B+A B 00 01 11 100 0 1 1 0 0 1 1

S AB 0 1 00 Cn=AB S +A BS 01 =(A S +A S)B 11 10 实验设计: (1)利用门电路实现。 ①利用74LS197的八进制输出端Q1、Q2、Q3作为B 、A 、S 的输入。 ②用异或门74LS86实现输出Y. ③用74LS86实现A ⊕B ,再用74LS08与B 实现与门。 (2)利用74LS138实现 ①将74LS197的Q3、Q2、Q1作为74LS138的S2、S1、S0输入,G2A 、G2B 接低电平,G1接高电平。 ②将74LS138的Y1、Y5、Y2、Y6利用74LS20实现与非门作为输出Y 。 ③ 将74LS138的Y3、Y5利用74LS00实现与非门作为输出Cn 。 0 0 0 1 1 0 0 0

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

数显声响倒计时电路

任务书 数显、声响倒计时电路设计 一、任务及要求 设计并制作一个数显声响式倒计时电路。要求如下: 1.电路具有10—99秒可预置定时功能。 2.有两个数码管显示计时时间,用一只LED指示计时开始与结束。按预置(开始)按钮,数码管显示定时时间,LED灯不亮;再按预置(开始)按钮,LED亮,倒 计时开始。 3.倒计时结束时,计数器停止计数,LED灯不亮。 4.电路具有开机预置数功能。 5.电路具有最后三秒报时功能,要求响半秒停半秒,共三次。用压控陶瓷蜂鸣器作为电声元件。 6.自制本电路所用得直流电源和一秒信号源。 二、参考资料 《数字电子技术实验任务书》实验四及实验六 《电子技术基础》课程设计资料

目录 前言................................................... 错误!未定义书签。 1、整体设计................................................ 错误!未定义书签。 1.1整体设计思路............................................ 错误!未定义书签。 1.2整体设计................................................ 错误!未定义书签。 方案一 (5) 方案二:................................................. 错误!未定义书签。 2、单元电路设计和基本原理 (6) 2.1电源设计 (6) 2.2信号源 (7) 2.3 开机复位电路 (8) 2.4 减法器计数器 (9) 2.5 显示电路 (11) 2.6 报警电路 (13) 2.7 LED灯电路 (13) 3、系统安装与调试 (15) 3.1.步骤方法............................................. 错误!未定义书签。 3.2故障及处理 (15) 3.2.1电源仿真的制作及调试........................... 错误!未定义书签。 3.2.2 1秒信号源仿真的连接于调试..................... 错误!未定义书签。 3.2.3 译码显示仿真的连接和调试....................... 错误!未定义书签。 3.2.4 计数器仿真的连接和调试......................... 错误!未定义书签。 3.2.5 报警电路仿真的连接和调试 (16) 3.2.6 LED灯仿真的连接和调试......................... 错误!未定义书签。 3.2.7 总体电路的测试................................. 错误!未定义书签。 3.3小结 (16) 4、总结与体会.............................................. 错误!未定义书签。 附录1 总体电路图 (19) 附录2 元器件清单 (20) 附录3 集成电路引脚图 (21) 附录4 参考文献 (22)

电子电路设计实验报告

电子电路设计实验报告 电子线路专题实验Ⅱ 一、实验要求: 1. 认真阅读学习系统线路及相关资料 2. 将键盘阵列定义为0. 1. 2------ E. F,编程实现将键盘输入内容显示在LCD显示器上。 3. 编程实现将日历、时钟显示在LED显示屏上(注意仔细阅读PCF8563资料),日历、时钟轮回显示。 4. 利用D/A转换通道(下行通道)实现锯齿波发生器;输出(1~5V)固定电压转换成(4~20mA)电流。 5. 利用A/D转换通道(上行通道)实现数据采集,将采集信号显示在LED屏上。程序要求分别具有平均值滤波、中值滤波和滑动滤波功能。 6. 将按键阵列定义成与16个语音段对应,编写程序,实现按键播放不同的语音段。 二、实验设计思路: 本次实验用c语言实现,主要包括LCD,LED,AD,DA,日历芯片,测温传感芯片。受到嵌入式系统实验的启发,将LCD,LED,I2C总线协议,键盘扫描模块接口写成一个文件库(放在library文件夹下),尽量做到调用时与底层硬件无关。通过调用库文件中的函数,实现代码的重用性。键盘,LCD的代码由于与嵌入式实验具有相通之处,因此可将高层的函数(与底层硬件无关的函数)方便地移植过来。 三、实验设计: 1.矩阵键盘扫描模块 4×4的矩阵键盘,通过扫描可得到按下键的行列值,将行列值转换为相应的对应数字0~F。函数GetKey()实现获得按键的键值。对于键盘模块对于对按键的键值识别主要是通过两次扫描而取得。对于第一次扫描,给四行键全部赋予1,然后读回键盘值,对于第二次扫描,逐行为键盘送1,每次送1后再读回键盘值,若非零,说明此行有键按下,最终确定键值。 通过调用GetKey函数构造GetChar()函数,实现获取键盘字符(’0’~’F’)的功能。

模拟集成电路复习

1、 研究模拟集成电路的重要性:(1)首先,MOSFET 的特征尺寸越来越小,本征速度越来 越快;(2)SOC 芯片发展的需求。 2、 模拟设计困难的原因:(1)模拟设计涉及到在速度、功耗、增益、精度、电源电压等多 种因素间进行折衷,而数字电路只需在速度和功耗之间折衷;(2)模拟电路对噪声、串扰和其它干扰比数字电路要敏感得多;(3)器件的二级效应对模拟电路的影响比数字电路要严重得多;(4)高性能模拟电路的设计很少能自动完成,而许多数字电路都是自动综合和布局的。 3、 鲁棒性就是系统的健壮性。它是在异常和危险情况下系统生存的关键。所谓“鲁棒性”, 是指控制系统在一定的参数摄动下,维持某些性能的特性。 4、 版图设计过程:设计规则检查(DRC )、电气规则检查(ERC )、一致性校验(LVS )、RC 分布参数提取 5、 MOS 管正常工作的基本条件是:所有衬源(B 、S )、衬漏(B 、D )pn 结必须反偏 6、 沟道为夹断条件: ?GD GS DS T DS GS TH H V =V -≤V V V -V ≥V 7、 (1)截止区:Id=0;Vgs

CMOS数字集成电路设计_八位加法器实验报告

CMOS数字集成电路设计课程设计报告 学院:****** 专业:****** 班级:****** 姓名:Wang Ke qin 指导老师:****** 学号:****** 日期:2012-5-30

目录 一、设计要求 (1) 二、设计思路 (1) 三、电路设计与验证 (2) (一)1位全加器的电路设计与验证 (2) 1)原理图设计 (2) 2)生成符号图 (2) 3)建立测试激励源 (2) 4)测试电路 (3) 5)波形仿真 (4) (二)4位全加器的电路设计与验证 (4) 1)原理图设计 (4) 2)生成符号图 (5) 3)建立测试激励源 (5) 4)测试电路 (6) 5)波形仿真 (6) (三)8位全加器的电路设计与验证 (7) 1)原理图设计 (7) 2)生成符号图 (7) 3)测试激励源 (8) 4)测试电路 (8) 5)波形仿真 (9) 6)电路参数 (11) 四、版图设计与验证 (13) (一)1位全加器的版图设计与验证 (13) 1)1位全加器的版图设计 (13) 2)1位全加器的DRC规则验证 (14) 3)1位全加器的LVS验证 (14) 4)错误及解决办法 (14) (二)4位全加器的版图设计与验证 (15) 1)4位全加器的版图设计 (15) 2)4位全加器的DRC规则验证 (16) 3)4位全加器的LVS验证 (16) 4)错误及解决办法 (16) (三)8位全加器的版图设计与验证 (17) 1)8位全加器的版图设计 (17) 2)8位全加器的DRC规则验证 (17) 3)8位全加器的LVS验证 (18) 4)错误及解决办法 (18) 五、设计总结 (18)

相关文档
相关文档 最新文档