文档库 最新最全的文档下载
当前位置:文档库 › 移位寄存器实验报告

移位寄存器实验报告

实验四:移位寄存器和计数器的设计

实 验 室: 实验台号: 日 期:

专业班级: 姓 名: 学 号: 一、

实验目的

1. 了解二进制加法计数器的工作过程。

2. 掌握任意进制计数器的设计方法。 二、 实验内容

(一)用D 触发器设计左移移位寄存器

(二)利用74LS161和74LS00设计实现任意进制的计数器

设计要求:

以实验台号的个位数作为所设计的任意进制计数器(0、1、2任选)。 三、 实验原理图

1.由4个D 触发器改成的4位异步二进制加法计数器

(输入二进制:) 2.测试74LS161的功能

清零移位脉冲

D

R 1

Q 2Q 3Q 1

D 2

D 3D 4D 串行输出

4Q CP

4T CP

3T CP

2T CP

1T D

R D

R D

R 串行输入

3.熟悉用74LS161设计十进制计数器的方法。

①利用置位端实现十进制计数器。

②利用复位端实现十进制计数器。

四、实验结果及数据处理

1.左移寄存器实验数据记录表

要求:输入二进制:

输入端输出

Qn

P T

X0X X X清零10X X置数

1111计数X110X不计数X11X0不计数

移位寄存器状态

移位脉冲的次数

Q4Q3Q2Q1

00000

10001

20011

30111

41111

51110

61100

71000

80000

2.画出你所设计的任意进制计数器的线路图(计数器从零开始计数),并简述

设计思路。

8进制

利用复位法实现8进制计数器,8=1000B,将A端同与非门相连,当A端=1时,使复位端获得信号,复位,从而实现8进制。

五、思考题

1. 74LS161是同步还是异步,加法还是减法计数器答:在上图电路中74LS161是异步加法计数器。

2. 设计十进制计数器时将如何去掉后6个计数状态的

答:通过置位端实现时,将Q

0、Q

3

接到与非门上,输出连接到置位控制端。当

Q 3=1,Q

2

=0,Q

1

=0,Q

=1,即十进制为9时,与非门输入端Q

、Q

3

同时为高电平,

位控制端为低电位,等到下一个CP上升沿到来时,完成置数,全部置为0。3. 谈谈电子实验的心得体会,希望同学们提出宝贵意见。

答:通过这学期的电子实验,我对电子电路有了更加深入地了解。初步了解了触发器、寄存器、计数器等电子元件的使用。将理论与实践相结合,更加深入的了解了电子技术,学到了很多,对这学期的电子实验十分满意。

相关文档
相关文档 最新文档