文档库 最新最全的文档下载
当前位置:文档库 › 交通信号灯控制器设计5311856769

交通信号灯控制器设计5311856769

交通信号灯控制器设计5311856769
交通信号灯控制器设计5311856769

交通信号灯控制器设计5311856769

一、电路功能

该操纵器能实现都市“十字”路口正常情形下以及专门情形和紧急情形下交通信号灯的模拟操纵。

1、在十字路口东西南北各设置红、黄、绿三种信号灯,如图1所示。正常情形下,东西、南北方向轮番放行。当东西方向(A线)放行、南北方向(B线)禁行时,东西方向(A线)绿灯亮25秒,然后黄灯亮5秒,南北方向(B 线)红灯亮30秒;当南北方向(B线)放行、东西方向(A线)禁行时,南北方向(B线)绿灯亮25秒,然后黄灯亮5秒,东西方向(A线)红灯亮30秒。如此循环,实现交通灯定时操纵。

2、有急救车优先通过功能。当有急救车到达时,路口的信号灯全部变红灯,以便急救车通过,急救车的通行时刻为10秒,急救车过后,交通灯复原先前状态。

3、交通灯在红、绿灯交替点亮中,用两个数码管显示点亮的灯还能连续的时刻。

交通灯布置示意图

二、实现方案

1、电路图

交通灯操纵电路的核心元件采纳单片机芯片AT89C51,其内部带有4K B的ROM,无须扩展储备器。

信号灯的操纵由单片机的P1.0~P1.5操纵,6只信号灯以共阴极方式连

紧急情况主程序流程图显示子程序流程图中断服务程序

图2 程序流程图

操纵程序

主程序:

ORG 2000H

MAIN:MOV DPTR,#7F00H

MOV A,#0BH ;PA、PC口均为输出

MOVX @DPTR,A

MAIN:SETB EA ;开中断

SETB EX0

MOV 50H,#1FH ;设置时刻显示初值

MOV P1,#0CH ;A道放行,B道禁行

MOV R7,#19H ;延时秒数

MAIN1:LCALL DISP ;调1秒延时、及显示子程序DJNZ R7,MAIN1

MOV P1,#0AH ;A道警告,B道禁行

MOV R7,#05H ;延时秒数

MAIN2:LCALL DISP

DJNZ R7,MAIN2

MOV 50H,#1FH ;设置时刻显示初值

MOV P1,#21H ;A道禁行,B道放行

MOV R7,#19H ;延时秒数

MAIN3:LCALL DISP

DJNZ R7,MAIN3

MOV P1,#11H ;A道禁行,B道警告

MOV R7,#05H ;延时秒数

MAIN4:LCALL DISP

DJNZ R7,MAIN4

AJMP MAIN ;循环

延时、显示子程序:

ORG 2000H

DISP:MOV R6,#01H ;设置显示位寄存器初值(右边一

MOV TMOD,#01H ;定时器T0设置为定时方式的模

式1

MOV R5,#0AH ;定时器操作循环10次

DEL1MOV TH0,#3CH ;定时器100ms计数器的初始值MOV TL0,#B0H

SETB TR0 ;启动定时器

DEL2:DEC 50H ;显示秒数减1

MOV A,50H

MOV B,#0AH

DIV B ;拆分秒数

ADD A,#2BH

MOVC A,@A+PC ;查表个位数的字形码

MOV R1,A ;个位数字形码送R1

MOV A,B

ADD A,#25H

MOVC A,@A+PC ;查表十位数的字形码

MOV R2,A ;十位数字形码送R2

MOV A,R6 ;位控码

MOV DRTP,#7F03H

MOVX @DPTR,A

MOV A,R1 ;送个位数显示

MOV DPTR,#7F01H

MOVX @DPTR,A

LCALL DELAY ;延时1ms

MOV A,R6

RL A ;位控左移

MOV R6,A

MOV DPTR,#7F03H

MOVX @DPTR,A

MOV A,R2 ;送十位数显示

MOV DPTR,#7F01H

MOVX @DPTR,A

LCALL DELAY ;延时1ms

JNB TF0,$ ;检测100ms定时是否到

CLR TF0

DJNZ R5,DEL1 ;100ms循环操纵

RET ;返回

TABLE: DB C0H ,F9H ,A4H ,B0H ,99H

DB 92H ,82H ,F8H ,80H ,90H

DELAY : MOV R7,#02H ;延时1ms 子程序 DEL3: MOV R6,#0F9H DEL4: DJNZ R6,DEL2 DJNZ R7,DEL1 RET END

中断服务程序:

ORG 0003H ;外部中断0入口地址

LJMP INTER0

ORG 2100H INTER0:

PUSH 50H

;爱护现场

PUSH P1

MOV P1,#00H

;两车道禁止

MOV R7,#0AH

;延时10秒

INT0: LCALL DISP

;调显示

DJNZ R7,INT0

POP P1

;复原现场

POP 50H

RETI

;中断返回

三、PCB 板设计

Title Nu mb er

Rev isio n

Size A4Date:

24-Ap r-2006Sh eet o f File:

K:\学校组织创新大赛资料2006-4\p ro tel99图\实做教学.d d b Drawn By :1A121A241A361A482A1112A2132A3152A417

1Y1181Y2161Y3141Y4

12

2Y192Y272Y352Y43

1G 12G

19

U2

74LS240

D0

3Q02D14Q15D27Q26D38Q39D413Q412D514Q515D617Q616D7

18

Q7

19

OE 1LE

11

U3

74LS373

A

1

B 2

C 3G 2A

4G 2B 5G 1

6

Y 77Y 69Y 510Y 411Y 312Y 213Y 114Y 015

U5

74LS138

EA/VP 31

X119X218RESET 9RD 17W R

16

IN T012IN T113T014T115P10

1P112P123P134P145P156P167P17

8

P0039P0138P0237P0336P0435P0534P0633P0732

P2021P2122P2223P2324P2425P2526P2627P27

28

PSEN

29

ALE/P 30TXD 11RXD 10U6

8031

A08A17A26A35A44A53A62A71A823A922A1019E/P 18OE 20VPP

21

D09D110D211D313D414D515D616D7

17U7

2716

G F E D C B A

A B C D E F G E

VCC

P10P11P12P13P14P15P16ALE P10P11P12P13P14P15P16P17A13A12A11

A14

A15VCC A10A9A8PSEN

PSEN

A8A9A10A11A12A13A14A15

AD0AD1AD2AD3AD4AD5AD6AD7

AD0AD1AD2AD3AD4AD5AD6AD7AD0AD1AD2AD3AD4AD5AD6AD7AD0AD1AD2AD3AD4AD5AD6AD7

ALE ALE Y1Y2Y3Y4Y5Y6Y7

Y 1

1A1

2

1A241A361A482A1112A2132A3152A4171Y118

1Y2161Y3141Y4122Y192Y272Y352Y431G 12G 19U8

74LS244

D 0

3

Q 0

2

D 14Q 15D 27Q 26D 38Q 39D 413

Q 412

D 514Q 515D 617Q 616D 718Q 719O E

1L E 11

U4

74LS373

Y 4

D 0D 1D 2D 3

D 4D 6D 5D 7

D2D0

D1D7D5D4D3D6d p 1

d p 2

d p 3

d p 3

D9

LED

D10

LED

D11

LED

D12

LED

D13

LED

D14LED

D15

LED

D16

LED

C130PF

C2

30PF

Y1

6MHZ 晶体R8200S2

SW-PB

R91k

VCC

C322u F

reset

x 1

x 2

x 1x 2reset

R10POT2

U14BUZZER

d p 31D I G 12D 3d p 24D I G 25d p 6-7(-)6d p 6-7(+)7D I G 38d p 8(+)9D I G 410

B 19D I G 1-E 21A 17

C 20

d p

11(+)12

d p 9(+)15E 11d p 9(-)13d p 11(-)14

G 22d p 1(+)23

d p 1-2-3(-)24

F 18d p 8(-)16

U15

E40301S

R11250

R12250

R13250R14250R155.1K R17

5.1K R185.1K

R165.1K VCC

AD0AD1AD2AD3Y 2Y 3R23250R24R25R26R27R28R29R30I N A

7

I N B 1I N C 2I N D 6L E /S T B 5B L K 4L T 3S E G A 13

S E G B 12S E G C 11S E G D 10S E G E

9S E G F 15

S E G G 14

U174511

单片机控制(交通灯)系统原理图

四、电路制作

五、操纵器特点

利用单片机实现对交通信号灯的操纵,具有成本低,可靠性高的特点。外加驱动放大电路即可用于实际应用中。

简易交通信号灯控制器课程设计报告书

《电工与电子技术基础》课程设计报告 题目简易交通信号灯控制器 学院(部)汽车学院 专业车辆工程 班级 学生 学号 6 月 29 日至 7 月 3 日共一周

目录 一、主要技术指标和要求 (2) 二、摘要 (2) 三、总体设计方案论证及选择 (2) 四、设计方案的原理框图、总体电路原理图及说明 1、设计方案的原理框图 (3) 2、总体电路原理图及说明 (4) 五、单元电路设计、主要元器件选择与电路参数计算 1、CP脉冲发生器电路 (5) 2、主控电路模板 (7) 3、组合逻辑电路模块 (8) 4、负载电路 (11) 六、收获与体会,存在的问题 (12) 七、参考文献 (13) 八、附件(元件材料清单、原理电路图或其他说明) (14)

一、主要技术指标和要求 (1)定周控制:主干道绿灯亮45秒,只感支干道绿灯亮25秒;(2)每次由绿灯变为红灯时,应有5秒黄灯亮作为过渡; (3)分别用红、黄、绿色放光二极管表示信号灯; (4)设计计时显示电路。 二、摘要 在现代城市中,红绿交通信号灯成为交管部门管理交通的重要工具之一。目前的交通信号灯电路大多分为主干道电路和支干道电路,通过适当的控制电路分别对主干道和支干道进行控制,达到合理的亮灭规律,从而很好的规人们的出行秩序。 本文设计的简易交通信号灯控制器方案分四大模块:1,脉冲信号发生模块。采用555秒脉冲发生器提供脉冲信号;2,主控制器模块。采用74LS161型4位同步二进制计数器加上清零电路;3,组合逻辑电路模块。利用74LS161的四个输出端和门电路构成组合逻辑电路来输出相应的高电平或低电平;4,负载。通过这四个模块来实现对交通信号灯的控制。 三、总体设计方案论证及选择 方案一:用多个不同步的信号分别控制各信号灯的开关,即分别用持续45S、5S、25S、5S的倒计时计数器来控制各信号灯。 方案二:交通信号灯的状态可以分为四种,且四种状态的周期和为T=45+5+25+5=80S,所以信号灯的每个循环周期为80S,因此,可以利用两个74LS290型十进制计数器组成一个八十进制的计数器的

西门子PLC交通信号灯控制系统设计(详细步骤)

毕业设计说明书 (2010 届) 课程名称:可编程控制器应用 题目:交通信号灯PLC控制系统设计专 业班级: 学生姓名: 学号:指导教师: 2010 年 1月 8 日

一、设计题目 交通信号灯PLC控制系统设计 二、设计目的 课程设计的主要目的是通过某一生产设备的电气控制装置的设计实践,了解一般电气控制系统设计过程、设计要求、应完成的工作内容和具体设计方法。通过设计也有助于复习、巩固以往所学的知识,达到灵活应用的目的。电气设计必须满足生产设备和生产工艺的要求,因此,设计之前必须了解设备的用途、结构、操作要求和工艺过程,在此过程中培养从事设计工作的整体观念。课程设计应强调能力培养为主,在独立完成设计任务的同时,还要注意其他几方面能力的培养与提高,如独立工作能力与创造力;综合运用专业及基础知识的能力,解决实际工程技术问题的能力;查阅图书资料、产品手册和各种工具书的能力;工程绘图的能力;书写技术报告和编制技术资料的能力。 三.设计要求 1技术要求: 某十字路口东西方向和南北方向各装有直行(包括右转弯)控制红、黄、绿交通信号灯和左转弯控制红、绿交通信号灯,另外还有到计时显示器。显示器用于显示相应方向直行控制当前点亮信号灯还要持续的时间(剩余时间),由另外的单片机系统构成。 PLC通过串口以自由口方式输出八位二进制数据,最高位为0表示东西方向数据。1表示南北方向数据,单位为秒。系统中有两个控制开关,东西控制开关SEW和南北控制开关SSN。SEW接通SSN关断则东西方向绿灯全亮南北红灯全亮,其他全灭。接通南北方向绿灯全亮,东西方向红灯全亮,其他全灭。SEW 和SSN都关断停止工作SEW和SSN都接通则进入正常工作状态,按照以下规律控制:(参考中华路与人民路交叉路口的信号灯) 2设计规律:: (1)系统启动后,南北红灯全亮35秒;与此同时东西直行绿灯亮20秒,东西左转弯红灯亮;(2) 东西灯亮20秒后开始闪烁,周期为1秒(灭0.5秒,亮0.5秒),闪亮3秒。(3)东西直行绿灯闪亮3 秒后变成黄灯亮,维持2秒;(4)东西直行黄灯亮2秒后变成红灯亮;同时东西左转弯绿灯亮,维持10秒;(5)东西左转弯绿灯亮10秒后变成红灯亮;(至此东西方向全是红灯亮,维持40秒);同时南北方向直行控制红灯灭,绿灯亮。维持20秒;南北左转弯继续红灯亮.;(6)南北直行绿亮20秒后开始闪烁,周期为1秒(灭0.5秒,亮0.5秒),闪亮3秒;(7)南北直行绿灯闪亮3秒后变成黄灯亮,

智能交通信号灯控制系统设计

智能交通信号灯控制系 统设计 LG GROUP system office room 【LGA16H-LGYY-LGUA8Q8-LGA162】

智能交通信号灯控制系统设计 摘要:本文对交通灯控制系统进行了研究,通过分析交通规则和交通灯的工作原理,给出了交通灯控制系统的设计方案。本系统是以89C51单片机为核心器件,采用双机容错技术,硬件实现了红绿灯显示功能、时间倒计时显示功能、左、右转提示和紧急情况发生时手动控制等功能。 关键词:交通灯;单片机;双机容错 0 引言 近年来随着机动车辆发展迅速,给城市交通带来巨大压力,城镇道路建设由于历史等各种原因相对滞后,特别是街道各十字路口,更是成为交通网中通行能力的“隘口”和交通事故的“多发源”。为保证交通安全,防止交通阻塞,使城市交通井然有序,交通信号灯在大多数城市得到了广泛应用。而且随着计算机技术、自动控制技术和人工智能技术的不断发展,城市交通的智能控制也有了良好的技术基础,使各种交通方案实现的可能性大大提高。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,是现代城市交通监控指挥系统中最重要的组成部分。本文设计的交通灯管理系统在实现了现代交通灯系统的基本功能的基础上,增加了容错处理技术(双机容错)、左右转提示和紧急情况(重要车队通过、急救车通过等)发生时手动控制等功能,增强了系统的安全性和可控性。 1 系统硬件电路的设计 该智能交通灯控制系统采用模块化设计兼用双机容错技术,以单片机89C51为控制核心,采用双机容错机制,结合通行灯输出控制显示模块、时间显示模块、手动模块以及电源、复位等功能模块。现就主要的硬件模块电路进行说明。 主控制系统 在介绍主控制系统之前,先对交通规则进行分析。设计中暂不考虑人行道和主干道差别,对一个双向六车道的十字路口进行分析,共确定了9种交通灯状态,其中状态0为系统上电初始化后的所有交通灯初试状态,为全部亮红灯,进入正常工作阶段后有8个状态,大致分为南北直行,南北左右转,东西直行,与东西左右转四个主要状态,及黄灯过渡的辅助状态。主控制器采用89C51单片机。单片机的P0口和P2口分别用于控制南北和东西的通行灯。 本文的创新之处在于采用了双机容错技术,很大程度上增强了系统的可靠性。容错技术以冗余为实质,针对错误频次较高的功能模块进行备份或者决策机制处理。但当无法查知运行系统最易出错的功能,或者系统对整体运行的可靠性要求很高时,双机容错技术则是不二选择。 双机容错从本质上讲,可以认为备置了两台结构与功能相同的控制机,一台正常工作,一台备用待命。传统的双机容错的示意图如图1所示,中U1和U2单元的软硬件结构完全相同。如有必要,在设计各单元时,通过采用自诊断技术、软件陷阱或Watch dog等系统自行恢复措施可使单元可靠性达到最大限度的提高。其关键部位为检测转换(切换)电路。 图 1 传统双机容硬件错示意图

简易交通灯控制电路的设计课程设计

长安大学 电子技术课程设计 题目简易交通信号灯控制器 班级 姓名黄红涛指导教师温 凯歌 日期 前言 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。有了交通灯之后人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 因此,在本次课题为简易交通灯的课程设计中,通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。

本设计分为两个部分:第一部分是由定时器、时钟脉冲驱动和控制器组成的秒脉冲信号发生装置;第二部分是有译码器、发光二极管和数码管组成的交通信号灯以及时间显示装置。各部分采用分模块设计,正文中详细介绍了各模块的功能和原理。 为了完成本次设计,参阅了大量的资料,包括所用到的芯片的详细中英文资料。搜集和查阅资料是一个漫长但是非常重要的过程,获取各模块电路原理,然后经过讨论比较,结合课题要求,确定出一套最合适的方案。小组人员花费几天时间,通过图书馆和上网查阅资料,分别查阅到相应资料。经过商讨,结合现有资料,制定基本框架,并基本定出电路图。在MULTISIM软件里进行电路仿真,来验证电路的正确性。通过仿真来验证实验原理和电路的正确性。在整个过程中,充分发挥主观能动性,将平时所学的理论知识和实际相结合,往往理论可行的东西,实际并不一定能出现结果,这就是我们需要解决的问题,通过问老师或者查资料来分析解决问题。最后确定仿真没有错误后,汇总电路图。 本设计分为两大部分,交通信号灯以及译码显示电路(时间显示)部分由黄红涛同学和韩白雨同学负责主导设计;秒脉冲信号发生以及控制部分由任永刚同学负责,最后进过整合后得到完整系统。 由于缺少实践经验,并且知识有限,所以本次课程设计中难免存在缺点和错误,敬请老师批评指正。 黄红涛 2010年12月29日 目录 前言 (2)

智能交通信号灯控制系统设计

编号: 毕业论文(设计) 题目智能交通信号灯控制系统设计 指导教师xxx 学生姓名杨红宇 学号201321501077 专业交通运输 教学单位德州学院汽车工程系(盖章) 二O一五年五月十日

德州学院毕业论文(设计)中期检查表

目 录 1 绪论............................................................................................................................ 1 1.1交通信号灯简介...................................................................................................... 1 1.1.1 交通信号灯概述.................................................................................................. 1 1.1. 2 交通信号灯的发展现状...................................................................................... 1 1.2 本课题研究的背景、目的和意义 ......................................................................... 1 1. 3 国内外的研究现状 ................................................................................................. 1 2 智能交通信号灯系统总设计.................................................................................... 2 2.1 单片机智能交通信号灯通行方案设计 ................................................................. 2 2.2 功能要求 ............................................................................... 错误!未定义书签。 3 系统硬件组成............................................................................................................ 4 4 系统软件程序设计.................................................................................................... 5 5 结论和展望................................................................................................................ 6 参考文献...................................................................................... 错误!未定义书签。 杨红宇 要: 但是传统的交通信号灯不已经不能满足于现代日益增长的交通压力,这些缺点体现在:红绿 以及车流量检测装置来实现交通信号灯的自控制,随着车流量来改变红绿灯1 绪论 1.1 1.1.1 为现代生活中必不可少的一部分。

交通信号灯控制器

太原理工大学现代科技学院数字电子技术基础课程设计 设计名称交通信号灯控制器 专业班级自动化12-1 学号 姓名 指导教师张文爱

交通信号灯控制器 一、设计要求: 通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。因此,在本次课程设计里,将以传统的设计方法为基础来实现设计交通控制信号灯。 1.设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。 2.用红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。 3.主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。 4.主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。 5.在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。 二.设计方案: 1,设计思想及方案论证: 本设计要求设计一个主干道绿灯45秒、支干道绿灯25秒的交通灯控制系统,每次由绿灯变为红灯时应有5秒黄灯亮作为过渡,分别用红、黄、绿三色发光二极管表示信号灯,并用数码管显示倒计时。因此,本设计需

要一个脉冲产生模块、信号灯模块、倒计时模块、数码显示模块和主控模块。脉冲产生电路用以驱动倒计时电路,置数电路将交通灯亮时间预置到计数电路和寄存器中,信号灯模块对信号灯的各种状态进行循环控制,倒计时模块以基准时间秒为单位做倒计时,数码显示模块显示倒计时的时间,主控模块对电路种的各个模块进行级联控制。 交通信号灯控制电路,交通灯采用发光二极管,显示时间则采用自带译码器的数码管显示。系统需要每秒减数,所以可以采用数字电路箱产生秒脉冲(数字电路实验箱中已给出),经由一个脉冲驱动电路后产生信号灯需要的三种脉冲,即45s,25s,5s,传递给控制器,由控制器发出状态。译码器接受状态后译码,输出控制信号灯和数码管显示的状态。 2,设计方案的工作原理: 1.倒计时电路(定时电路) 倒计时器由两位4位十进制可逆同步计数器(双时钟)74LS192、一个非门和一或门构成。其组成如图所示,其中74LS192是上升沿触发,CPU

交通信号灯控制系统课程设计报告

单片机原理及应用 课程设计报告 设计题目:交通信号灯控制系统 班级:11级电气三班 指导教师:曹琳琳 学生姓名:张杰

哈尔滨远东理工学院机器人科学与技术学院 2013年5月30日 交通信号灯控制系统 [摘要]: 随着经济发展, 汽车数量急剧增加, 城市道路日渐拥挤, 交通拥塞已成为一个国际性的问题。因此, 设计可靠、安全、便捷的多功能交通灯控制系统有极大的现实必要性。设计中包括硬件电路的设计和程序设计两大步骤,对单片机学习中的几个重要内容都有涉足。对单片机初学者一定的帮助, 同时, 设计也可运用于简单的实时交通灯控制, 具有一定的现实意义。特别是街道各十字路倒计时显示通行时间, 并有急车强行通过、交通异常状况判别及处理等功能, 该系统具有设计周期短、可靠性高、维护方便、使用简单等优点。更是成为交通网中通行能力的“隘口”和交通事故的“多发源”。为保证交通安全, 防止交通阻塞, 使城市交通井然有序, 交通信号灯在大多数城市得到了广泛应用。传统的交通信号灯控制一般采用电子线路和继电器控制, 结构复杂, 可靠性低, 故障率高, 因此研究计算机与自动控制技术, 设计新型的交通灯控制系统, 对缓解交通阻塞, 提高畅通率具有十分现实的意义。以下通过介绍一种基于8 0 51的交通灯控制系统, 东西、南北的通行时间可调, 能倒计时显示通行时间, 并有急车强行通过、交通异常状况判别及处理等功能, 该系统具有设计周期短、可靠性高、维护方便、使用简单等优点。 [关键词]:交通灯; 单片机; 自动控制; 程序设计;倒计时; 急车强通控制; 异常状况判别及处理。 1、交通灯系统工作原理及设计方案 1.1交通灯工作原理 采用单片机I/ O口P1直接和交通灯连接, 控制程序放在8051单片机ROM中。十字路口4组红、黄、绿交通灯中, 东西方向的交通灯工作状态相同, 可以用同一组I/O控制; 南北方向的交通灯工作状态也相同,也可以用同一组I/O控制,所以只需要用到单片机的P1.0到P1. 5控制。由于交通灯为发光二极管且阳极通过限流电阻和电源正极连接, 因此I/O输出低电

交通信号灯控制器设计方案一

课程设计(综合实验)报告( 2012 —2013 年度第一学期) 名称:电子技术综合实验 题目:交通信号灯控制器 院系:电气与电子工程学院 班级: 学号: 学生姓名: 指导教师:刘春颖 设计周数:一周 成绩: 日期:2013年1 月15 日

《电子技术》综合实验 任务书 一、目的与要求 1.目的 1.1课程设计是教学中必不可少的重要环节,通过课程设计巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。 1.2注重培养学生正确的设计思想,掌握课程设计的主要内容、步骤和方法。 1.3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。 1.4提高学生运用所学的理论知识和技能解决实际问题的能力及其基本工程素质。 2.要求 2.1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。 2.2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研课程设计中所遇到的问题,培养自己分析、解决问题的能力。 2.3进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。 2.4学会电子电路的安装与调试技能,掌握常用仪器设备的正确使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。 2.5学会撰写课程设计总结报告。 2.6通过课程设计,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。 2.7在课程设计过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。 二、主要内容 共有8个既有学习价值又有一定的实用性和趣味性的设计课题,学生根据自身情况自由选择其中之一。 1.移位寄存器型彩灯控制器 2.智力竞赛抢答器 3.电子拔河游戏机 4.交通信号灯控制器 5.数字电子钟 6.电子密码锁

交通信号灯控制器课程设计

燕山大学 课程设计说明书题目:交通信号灯控制器 学院(系):电气工程学院 年级专业: 11级检测一班 学号: 学生姓名: 指导教师: 教师职称:

燕山大学课程设计(论文)任务书 院(系):电气工程学院基层教学单位:仪器科学与工程系 说明:此表一式四份,学生、指导教师、基层教学单位、系部各一份。 年月日

摘要 日常交通对于人们的生活有极大的影响,因此对交通的控制也有很高的要求。交通信号灯已不仅仅被看成一种用来指挥交通的工具,在很多实际应用中它还需要能够实现更多其它功能。高精度、多功能、小体积、低功耗,是现代交通信号灯发展的趋势。本课题设计正是基于这个方向设计一个符合指标要求的模拟交通信号灯控制器。 单片机特别是51系列的单片机,由于其使用方便、价格低廉等优势,在电子产品中的应用越来越广泛,在市场上占有很大的份额。AT89C51就是51系列中的一个比较成熟的型号,它完全兼容51单片机的指令。 本课题设计是基于单片机技术原理,以单片机芯片AT89S51作为核心控制器,通过硬件电路的制作以及软件程序的编制,设计出的一个模拟交通信号灯控制系统。该控制系统包括了。设计以硬件和软件相结合为指导思想,通过软件编程实现系统大部分功能,电路简单明了,系统稳定性高。主要硬件有:AT89C51单片机、八段数码管、LED和按键等。软件采用C语言编写实现,并依据程序应用Keil、Protues进行了调试,对出现的问题进行分析和反复修改,最终得到正确并符合设计要求的结果。 设计完成的模拟交通信号灯控制器能够准确指挥交通,控制精确、体积小、功耗低,具有很强的实用性。

目录 第一章、课题简介 ..............................错误!未定义书签。 1.1引言 (1) 1.2课设内容 (1) 第二章、AT89C51单片机简介 (2) 2.1单片机引脚介绍 (2) 2.2定时器介绍 (3) 2.3定时器初值的计算 (4) 第三章、硬件总体结构设计 (5) 3.1单片机最小系统 (5) 3.2LED灯显示原理 ............................... 错误!未定义书签。 3.3数码管显示原理 (7) 3.4芯片介绍..................................... 错误!未定义书签。 第四章、软件设计 (10) 4.1单片机程序开发流程 (10) 4.2程序流程图 (11) 4.仿真电路 (12) 4.5电路仿真结果 (14) 总结 (15) 参考文献 (16) 附录源程序 (17)

交通信号灯控制详细操作说明

交通信号灯控制详细操作说明 一、操作面板示意图: 二、修改程序的基本步骤: 按“加”或“减” 按“功能1” 按“加”或“减” 按“功能1” 按“加”或“减” 按“功能1” 按“加”或“减” 按“功能1” 步骤1、按住“显示程序”键,听毕 “啼”音后进入程序修改操作; 步骤2、显示[-0 0·7 00] 步骤3、显示[- 0 02·02 设定第一段程序开始运行的时间,按数字下 面相对应的“减”或“加”来调整时分。 显示内容说明:当前显示的是“-0 0.7 00” “-0”的含义指的是当前设定的是第一段程 序。“07 00”的含义是指时间,在以下三个 步骤中设定的程序将在凌晨7点钟开始运 行。用“·”的位置指示当操作步骤的进度, 在以下几个步骤中“·”点的位置往后移。 设定干线与支线左转弯绿灯时间,按加减来 调整干线或支线左转弯绿灯时间,注意:调 整为02.02则控制器工作于两相位模式。 步骤4、显示[- 0 2 5 2·5] 设定参数,一般不需修改,如需修改按数字 下面相对应的按键。第一位”2”代表黄灯过渡 到红灯时红灯持续时间为2秒,第二位”2” 代表绿灯过渡到黄灯时黄灯持续时间为2 秒,第三位”5”代表绿闪次数5次,第四位数 是右转弯绿灯的运行模式。 步骤5、显示[- 0 2 2 5 8·] 设定干线与支线直线绿灯时间,左边的两位 数是干线的,右边的两位数是支线的,按数 字相对应的“减”或“加”来调整绿灯时间。

三、修改多时段程序的步骤: 在基本步骤6中按下“功能1”,根据你的需要重复“修改程序的基本步骤”2-5;设定时钟的应从早上到晚上,共有十个时段可以设定。 四、修改程序中的特定数字: 1、设定左转时间[ 0 2·0 2 ]是转入二相位的特定数字 2、设定直行时间[ 0 3·0 3 ]是转入黄闪的特定数字; 3、设定时钟时间[ 2·3 5 9 ]是退出修改的特定数字; 五、手动: 在正常工作状态下按“功能2”键即进入手动工作状态,按相应键即对干线左转、支线左转、干线直行、支线直行的手动控制,再按“功能2”键返回正常工作状态。 六、恢复出厂设置及24小时连续工作设置: 如遇到不明原因的控制器故障请恢复出厂设置复位,按住“功能2”键再开电源,听毕“啼”音后即恢复出厂设置。 自动1初始化出厂设置如下:(四相位设置:直线先行)

课程设计报告——交通信号灯控制器

目录 一、设计背景 (22) 二、任务和要求 (22) 三、总体设计方案 (33) 1.状态设置 (33) 2.系统框图 (33) a.系统总框图 (33) b.系统状态转换框图 (44) 四、单元电路设计 (44) 1.倒计时电路(定时电路) (44) 2.状态控制器(主控电路) (55) 3.交通灯显示电路、 (55) 4.数码管显示 (66) 5.置数变换电路 (66) 6.流量控制电路 (77) 五、总电路原理图 (88) 六、总电路图 (99) 七、原件清单 (1010) 八、电路连接与调试 (1010) 九、设计总结 (1010) 十、参考文献 (1111)

一、 设计背景 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。交通信号灯常用与交叉路口,用来控制车的流量,提高交叉口车辆的通行能力,减少交通事故。有了交通灯人们的安全出行有了很大的保障。 二、任务和要求 红绿灯交通信号系统外观示意图如图1所示。 1.在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一方向是绿灯、黄灯、红灯;另一方向是红灯、绿灯、黄灯。 2.设置一组数码管,以倒计时的方式显示允许通行或禁止通行时间,其中一个方向上(主干道)绿灯亮的时间是45s ,另一个方向上(支干到)绿灯亮的时间是25s ,黄灯亮的的时间都是5s 。 3.要求加装一流量控制电路,使电路拥有流量控制功能,既:当一条路上无车,另一条路上有车时,这条路上的绿灯长亮,另一条路上红灯长亮。

三、总体设计方案 1.状态设置 状态1(00):主车道的绿灯亮,车道,人行道通行;南北方向车道的红灯亮,车道,人行道禁止通行。 状态2(01):主车道的黄灯亮,车道,人行道缓行;南北方向车道的红灯亮,车道,人行道禁止通行; 状态3(10):支车道的红灯亮,车道,人行道禁止通行;南北方向车道的绿灯亮,车道,人行道通行; 状态4(11):支车道的红灯亮,车道,人行道禁止通行;南北方向车的黄灯亮,车道,人行道缓行; 2.系统框图 a.系统总框图 流量控制电路

电工与电子技术课程设计_简易交通信号灯控制器(最新)剖析

长安大学 电子技术课程设计设计题目:简易交通信号灯控制器 专业汽车运用工程工程 班级2013220501 姓名王雨晴 指导教师 日期2015-6

目录 一、课题名称与技术要求 二、摘要 三、总体设计方案论证及选择 四、设计方案的原理框图、总体电路图、接线图及说明 五、单元电路设计、主要元器件选择与电路参数计算 六、收获与体会、存在的问题 七、参考文献 八、附件(元件材料清单)

一、课题名称与技术要求 1、课题名称:简易交通信号灯控制器 2、主要技术指标和要求: (1)定周控制:主干道绿灯45秒,支干道绿灯25秒; (2)每次由绿灯变为红灯时,应有5秒黄灯亮作为过渡; (3)分别用红、黄、绿色发光二级管表示信号灯; 二、摘要 交通运输是国家经济发展的动力,日常出行也是我们生活中不可或缺的一部分。确保道路交通顺畅与安全的重要性不言而喻。在确保十字路口的交通秩序工作中,信号灯扮演了极其重要的角色。交通信号控制系统是用来自动控制十字路口红黄绿三色的电子系统。交通灯简易交通信号灯控制器利用555秒脉冲发生器提供秒脉冲信号,通过CP输入。主控制器由一块74LS161组成一个16进制计数器,分别在45S,50S,75S,80S,通过驱动控制装置来控制主干道与支干道中绿、黄、红发光二极管的亮灭及其持续时间,从而实现对主干道与支干道交通信号的控制。 三、总体设计方案论证及选择 方案一:十字路口每个方向的绿、黄、红灯所亮的时间比例分别为9:1:5,所以,可以选择计数器为5s的脉冲。因为每5s一个时间单位,所以计数器的工作循环为16,应选择一个十六进制的计数器来控制,故选择74LS161四位异步二进制计数器,再加上相应控制器来配合,达到计数器分别在9、

道路交通信号灯控制系统设计说明书

安徽科技学院数理与信息工程学院 《单片机原理与应用设计》课程设计 设计说明书 题目: 道路交通信号灯控制系统 专业: 电气工程及其自动化 班级: 12级1班 指导教师: 2014 年12 月 9 日

目录 一、概述 (3) 1、设计背景 (3) 2、设计要求 (3) 二、整体设计原理 (3) 1、设计原理 (3) 2、硬件电路分析 (4) 三、硬件电路 (5) 1、晶振电路 (5) 2、硬件电路 (5) 四、软件设计 (6) 1、主程序设计 (6) 2、程序代码分析 (7) 3、元件清单 (9) 五、测试 (10) 1、仿真调试 (10) 六、心得体会 (13) 七、附录 (14) 1、参考文献 (14) 2、完整程序代码 (14)

一、概述 1、设计背景 根据规定本学期13、14周为本专业课程设计,要求同班同学五人一组利用单片机相关知识和proteus仿真软件实现所选课题相关功能。 由于我们组在大二数、模电课程设计中做过交通灯相关课题,因此本次课程设计在组织好团队后,经讨论我们一致决定选择道路交通信号灯控制系统作为本组课程设计内容。 2、设计要求 (1)设计目的 随着单片机应用的日益广泛,在校学生加强对单片机动手实践能力的培养,已经是非常重要的一项锻炼。课程设计就是为加强实践机会、培养学生动手能力的一个重要环节,将理论知识与实际联系起来的一个关键机会。 (2)设计任务 ①设计四组十字路口的红、绿、兰三色交通灯,并模拟交通灯的现场情形,控制交通灯的亮灭。 ②设计四组 LED 显示器,分别倒计时显示十字路口每个方向的红灯或绿灯的剩余时间。 ③可适当根据实际需要增加扩展功能。 ④利用 PROTEUS 软件画出电路图,根据以上功能编写软件,并在硬件电路上成功运行或仿真。 二、整体设计原理 1、设计原理 实际交通灯的变化规律实际交通灯分为东南西北四个方向以及左转右转,本次课程设计我们涉及的是简易交通灯,不包含左转右转,只包括东西直行和南北直行,原理较为简单,下图是十字楼口的模拟图。

交通信号灯及控制系统设备安装与施工详解

交通信号灯及控制系统设备安装与施工详解 交通信号系统包括机箱、灯杆、SCATS检测线圈、电缆与电线、取电电源、防雷与接地、管井与管道等设施设备,下面介绍各个部分的材料、安装要求和施工工序。 机箱 1.信号机箱无特殊情况时一般安装在路口的西南角。 2.信号机箱的安装应考虑设置在人行横道上视野宽阔、不妨碍行人及车辆通行、能观察到交叉口的交通状况和信号灯的变化状况、并能容易驳接电源的地点。 3.信号机箱的基础位置与人行横道的路缘距离应在50~100cm,与路缘平行,基础高于地面20cm,平面尺寸应和信号机箱底座尺寸一致,地面以下的水泥钢筋基础至少70cm 深。 4.在有可能积水的地面安装信号机箱时,应适当增加基础高度,防止信号机被积水淹没。 5.信号机箱安装完毕后,应将机箱底部的接线孔用填充物密封,防止潮气侵蚀。 6.信号机箱安装时,保护接地线、避雷器接地线的接地施工应符合GB50169《电气装置安装工程接地装置施工及验收规范》的规定;接地完毕,测量信号机箱接地电阻小于4Ω。 灯杆 灯杆制作 1.信号灯杆所属的立柱、法兰盘、地脚螺栓、螺母、垫片、加强筋等金属构件及悬臂、支撑臂、拉杆、抱箍座、夹板等附件的防腐性能应符合GB/T18226《高速公路交通工程钢构件防腐技术条件》的规定。 2.信号灯杆应采用圆形或多棱形经热镀锌处理的钢管制造。 3.信号灯杆安装前须经过防锈处理,底层喷涂富锌防锈底漆,外层喷涂银灰色瓷漆。 4.机动车立柱式灯杆距路面约350mm 处留有拉线孔和拉线孔门,人行道和非机动立柱式灯杆距路面约300mm 处留有拉线孔和拉线孔门。 5.立柱式灯杆拉线孔门应设有防盗措施,孔内设置接地端子座,以便接驳地线。 6.立柱式灯杆顶部安装灯具处应留有出线孔,并配备橡胶护套、电缆线回水弯挂钩,灯杆顶部应安装塑料或经防腐处理的内套式金属防水管帽。 7.悬臂式灯杆悬臂杆与支撑杆使用圆形或多棱形的变截面型材制作,悬臂与灯杆连接端宜焊接固定法兰盘,悬臂下应留有进线孔和出线孔。 8.悬臂式灯杆拉杆宜使用圆钢制作,一端配有可调距离的螺旋扣,直径和长度根据悬臂长度确定。 9.信号灯杆杆体底部应焊接固定法兰盘,法兰盘与杆体之间应均匀焊接加强筋。 灯杆安装 1.悬臂式灯杆支撑臂使用抱箍、抱箍座与灯杆连接固定;拉杆与灯杆、拉杆与悬臂、支撑臂与悬臂可使用夹板连接固定;安装时使用的固定螺栓、螺母、垫圈应使用热镀锌件并用弹簧垫圈压紧。 2.紧固标准件全部采用不锈钢材料。 3.信号灯杆安装应保证杆体垂直,倾斜度不得超过±0.5%。 4.信号灯杆安装应有足够的强度,能抵抗12 级大风或者一般移动物体的撞击。 5.信号灯杆保护接地电阻应小于4Ω。 SCATS检测线圈 材料要求

交通信号灯控制器实验报告

交通信号灯控制器 一、设计任务及要求 (2) 二、总体方案设计以及系统原理框图 (2) 2.1、设计思路 (2) 2.2、各模块相应的功能 (2) 2.3、系统原理图 (3) 三、单元电路设计 (3) 3.1、车辆检测电路 (3) 3.2、主控电路 (4) 3.3、灯控电路 (5) 3.4、计时控制电路 (6) 3.5、计时显示电路 (6) 3.6、反馈控制电路 (7) 3.7、置数电路 (7) 3.8、时基电路 (7) 四、工作原理 (8) 五、电路的软件仿真及结果分析 (8) 5.1、时基电路(555接成的多谐振荡器)的电路图以及波形的显示 (8) 5.2、结果分析 (10) 六、电路的组装调试 (10) 6.1、使用的主要仪器和仪表 (10) 6.2、调试电路的方法和技巧 (10) 6.3、调试中出现的问题、原因和排除方法 (11) 七、收获、存在的问题和进一步的改进意见 (11) 7.1、存在的问题和进一步的改进意见 (11) 7.2、收获以及心得体会 (12) 附录一:电路所用元器件 (14) 附录二:电路全图 (15) 附录三:实际电路图 (16)

一、设计任务及要求 在一个主干道和支干道汇交叉的十字路口,为了确保车辆行车安全,迅速通行,设计一个交通信号灯控制电路,要求如下: 1、用两组红、绿、黄发光二极管作信号灯,分别指示主道和支道的通行状 态。 2、通行状态自动交替转换,主道每次通行30秒,支道每次通行20秒,通 行交替间隔时为5秒。 3、通行状态转换依照“主道优先”的原则,即:当主道通行30秒后,若支 道无车则继续通行;当支道通行20秒后,只有当支道有车且主道无车时才允许继续通行。(用按键模拟路口是否有车) 4、设计计时显示电路,计时方式尽量采用倒计时。 二、总体方案设计以及系统原理框图 2.1、设计思路 本次设计采用模块划分的方法,每个模块完成一项功能,最后将各个模块连接起来,设计完成后,用Multisim进行仿真,仿真成功后,再去实验室焊接调试。 2.2、各模块相应的功能 (1)车辆检测电路:用来显示主路支路车辆的四种情况。 (2)主控电路:该电路为一个时序逻辑电路,根据车辆的情况实现灯的状态转换。 (3)灯控电路:用来控制灯的四种状态。 (4)计时控制电路:实现时间的倒计时。 (5)计时显示电路:显示时间。 (6)反馈控制电路:为灯的状态转换提供一个触发信号。 (7)置数电路:为每种情况设置应有的时间。 (8)时基电路:为计时控制电路提供触发信号。

数电设计——交通信号灯控制器

数字逻辑系统课程设计报告 题目:交通信号灯控制器 专业、班级: 12级电子工程一班 学生: 学号: 指导教师: 分数 : 2014年 1 月 16 日

任务书

目录 一、设计任务目的 (4) 二、设计任务与要求 (4) 2.1 设计任务: (4) 2.2设计要求: (4) 三、设计方案选取与论证 (4) 3.1 方案选取理由 (4) 3.2 方案的可行性、优缺点 (5) 3.3 方案设计选取 (5) 四、电路设计 (8) 4.1单元模块电路设计 (9) 4.2 电路总图 (12) 五、制作及调试过程 (13) 5.1 装配和调试中的问题和解决办法 (13) 5.2 调试结果 (13) 5.3 元器件清单 (14) 六、结论 (14) 6.1 问题分析及解决 (14) 6.2 心得体会 (15) 七、致 (16) 八、参考文献 (16)

一、设计任务目的 1.掌握交通信号灯控制器电路的设计、组装。焊接与调试方法。 2.熟悉并掌握简单数字集成电路的设计和使用方法。 二、设计任务与要求 2.1 设计任务: 设计一个用于十字路口的交通灯控制器。能显示十字路口东西、南北两个方向的红、黄、绿的指示状态。 2.2设计要求: ①东西方向绿灯亮,南北方向红灯亮,时间15s。 ②东西方向与南北方向黄灯亮,时间5s。 ③南北方向绿灯亮,东西方向红灯亮,时间l0s。 ④如果发生紧急事件,可以手动控制四个方向红灯全亮,禁止该道路的车辆通行,特殊情况过后能恢复正常。(附加功能) 三、设计方案选取与论证 3.1 方案选取理由 该交通灯控制器是分两条东西、南北的,两个方面的时间是不同的,东西方向通行15s,南北方向10s,这就要求我们要有两个计数器,根据我自己的经验,东西方向通行15s完,倒计时数字显示器会显示到0,然后切换到南北方向通行10s完之后, 倒计时数字显示器也会显示到0之后然后切换到南北方向,这样如此循环,用两片74LS192芯片来构成对应进制的计数器,设计一个16进制和一个11进制的计数器,可以由于是15和10之间循环切换,我们可以用利用JK触发器的翻转功能来实现两种进制计数器之间的切换;当然还有每个方向倒计时只有5s时,黄灯闪,一直到0为止,由于黄灯是当两个计数器倒计时到5时开始闪,我们就可以在这时发出一个脉冲然后一直保持到0,或者是接收0至5这段时间的脉冲都可

交通信号灯控制系统

交通信号灯控制系统(红绿灯系统) 1、概述 近年来,随着经济发展,营运车辆拥有量的增加使道路市场必须规有序,交通安全管理必须上一新台阶。按照“高起点规划,高标准建设,高效能管理”的思路,坚持把城市化作为城市经济的一大战略来抓,积极建设城区交通基础设施工程,建立交通安全管理网络。严格抓好交通管理,以加强交通队伍建设和行业文明建设。 对****信号控制系统进行升级改造,在*****新建设一套信号控制系统 2、设计依据 ?《道路交通信号控制机》(GB25280-2010) ?《道路交通信号灯》(GB14887-2011) ?《道路交通信号灯设置与安装规》(GB14886-2006) ?《道路交通信号倒计时显示器》(GA/T508-2004) ?《道路交通安全行为图像取证技术规》(GA/T832-2009) ?《交通信号机技术要求与测试方法》(GA/T47-93) ?《道路交通信号机标准》(GA47-2002) ?《道路交通信号灯安装规》(GB14866-94) 3、设计原则 本期工程按“国领先、国际先进”的原则设计方案,提供完整、最新而成熟的产品,并保证各项技术和设备的先进性、实用性和扩展性。提高交通道路口的车辆通行速度,保证道路畅通。因此该系统是建设畅通工程中的重要措施之一。 信号控制系统的设置应充分结合本路段的工程自身特点,在达到适时、适量地提供交通信息,确保行车安全目的的同时,尽可能与道路的整体效果相结合。 1)设计思路 以有效地管理道路交通,达到安全、经济、合理、美观为目的,严格按照国家有关规定设置信号灯等交通设施。

交通拥挤情况主要发生在车流人流相对集中的主要繁华城区路口和路段,根据现有主要交通干道路面宽度划分车道,基本可以满足城区车辆通行的需要。 2)预期实现目标 完善城区交通安全设施布局,规行车和行人秩序,减少交通事故,一定程度上改善城市形象。 4、交通信号控制系统功能 (1)图形与界面 系统界面中文化、图形化、菜单化。命令操作方式灵活多样,并对错误操作发出警告或禁止执行。 能多用户、多窗口显示,显示窗口可缩放、移动。 具有图形编辑工具,可以对图形的区域背景、路口背景等进行用户化编辑。 背景地图可按管理区域和路口进行缩放和漫游显示。 能够实时显示路口设备、路口设备工作状态及信号控制模式等信息。 系统可动态、实时地显示路口信号灯的运行状况,并可对某一路口的信号灯变化进行实时显示;还可以根据需要直接对信号机进行手动操作功能。 能够用图表显示交通流量、占有率等统计分析数据。 (2)用户管理 系统能够支持至少50个用户的使用和管理,对用户的名称、密码和访问角色等相关容进行设置。 能够设立访问角色,能够定义相应的访问权限,每个用户可以对应多个角色。 组管理:每个组可以有多个用户,所有用户不能重名,不同的组可以管理不同的路口设备。 记录用户登录和退出系统的时间及用户使用过的操作命令,显示用户是否在线。 禁止多用户对同一对象同时进行控制操作,并给出提示信息。 (3)日志管理 操作员记录:操作员登录/退出时间、部分重要操作命令记录。 记录保存时间:系统至少保留最近12个月的综合日志记录。

交通信号灯控制器设计方案

课程设计任务书

设计(论文)说明书用纸N O.1 沈阳大学

沈阳大学

沈阳大学

图1 基本工作原理图 2.2设计电路采用的软件介绍 Proteus软件是英国Labcenter electronics公司出版的EDA工具软件。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。 Proteus是世界上著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、A VR、ARM、8086和MSP430等,2010年又增 沈阳大学

加了Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MPLAB等多种编译器。 2.3设计电路采用的方案 近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通信号灯控制方式很多。这次任务采用MSC-51系列单片机AT89C51来设计交通灯控制器,从而实现通过P2口设置红、绿灯亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯亮以警示作用。此设计方案实用性强、操作简单、扩展功能强。 2.4 AT89C51及部分端口介绍 如图2为AT89C51 。 图2 AT89C51 沈阳大学

相关文档
相关文档 最新文档