文档库 最新最全的文档下载
当前位置:文档库 › 电子技术基础实验期末试题答案

电子技术基础实验期末试题答案

电子技术基础实验期末试题答案
电子技术基础实验期末试题答案

A

1 不能直接测量

因为交流毫伏表是用正弦波的有效值标定的,对于三角波形,需要进行公式换算。对于三角波,其表头指针位置只是它们的平均值位置,但可通过间接方式求得它们的有效值。若用交流毫伏表测得正弦信号电压为1U ,则方波有效值为0.9U ,三角波有效值为1.036U 。

2 与非门中不用的输入端最好是接高电平:TTL 与非门不用的输入端可以悬空,最好接高电平;CMOS 与非门不用的输入端必须接高电平。因为:1、TTL 电路中悬空也相当于接高电平;2、CMOS 电路输入阻抗很高,悬空的话容易引入干扰。

三个办法:1.接高电平,通常接Vcc ;2. 与有用输入端并联;3.通过电阻 接高电平

3 50进制的计数器

4

5 调零电路的测试

按照电路原理图连接电路,注意741的7脚接正电源,741的4脚接负电源,以及调零电位计出来R4还要接负电源。 当 UI1=0 UI2=0时,调节RW ,使UO=0

然后Rb 此时为9K 3个3K串联即可

1 放大电路的电压放大倍数等于输出电压除以输入电压。用示波器观察输出波形,只是为了确认放大电路是否工作在正常的状态,不是必要的步骤。

另外,如果输入的信号不是直流信号或50Hz 正弦波,常用的万用表不能准确测量。采用示波器查看输入输出电压的幅值,才能获取正确的放大倍数。

2 (可参照C3)可以使用万用表来判断,首先把万用表拨到二极管档,然后用万用表的任意表笔接数码管的第一脚用另外一表笔从第二脚开始一直接到最后一脚若接到其中一脚时数码管的其中一段发光此时亮的那一脚为数码管的公共端如果接到公共短的表笔是红表笔则数码管为共阳反之为共阴

3

4 与非门中不用的输入端最好是接高电平:TTL 与非门不用的输入端可以悬空,最好接高电平;CMOS 与非门不用的输入端必须接高电平。因为:1、TTL 电路中悬空也相当于接高电平;2、CMOS 电路输入阻抗很高,悬空的话容易引入干扰。

三个办法:1.接高电平,通常接Vcc ;2. 与有用输入端并联;3通过电阻 接高电平。 5调零电路的测试 同上

1 不能

因为一旦波形失真,用毫伏表测量的结果就毫无意义。一旦波形失真,就需要调整放大器的参数,只有在观察到波形不失真的情况下,才能使用毫伏表进行定量测量。既用到毫伏表,必用示波器。

2 参上

3 可以使用万用表来判断

1.首先把万用表拨到二极管档

2.万用表两表笔任意接到数码管两脚,直到有数码管有亮

3.然后一支表笔不动,另一只再接触其余引脚,如果都亮,观察动的那只表笔,若是正(红表笔),说明是一只可以工作的共阴数码管,若是负(黑表笔)则是共阳数码管,若整个过程没有数码管亮则说明数码管是坏的。

4 见B3

5调零电路的测试见下

模拟电子技术基础实验思考题

低频电子线路实验思考题 实验一常用电子仪器的使用(P6) 1.什么是电压有效值?什么是电压峰值?常用交流电压表的电压测量值和示波器的电压直接测量值有什么不同? 答:电压峰值是该波形中点到最高或最低之间的电压值;电压有效值等于它的瞬时值的平方在一个周期内职分的平均值再取平方根。 常用交流电压表的电压测量值一般都为有效值,而示波器的电压直接测量都为峰值。 2.用示波器测量交流信号的峰值和频率,如何尽可能提高测量精度?答:幅值的测量:Y轴灵敏度微调旋钮置于校准位置,Y轴灵敏度开关置于合适的位置即整个波形在显示屏的Y轴上尽可能大地显示,但不能超出显示屏指示线外。频率测量:扫描微调旋钮置于校准位置,扫描开关处于合适位置即使整个波形在X轴上所占的格数尽可能接近10格(但不能大于10格)。 实验二晶体管主要参数及特性曲线的测试(P11) 1.为什么不能用MF500HA型万用表的R×1Ω和R×10Ω档量程测量工作极限电流小的二极管的正向电阻值? 答:根据MF500HA型万用表的内部工作原理,可知R×1Ω和R×10Ω档量程测量工作极限电流小的二极管的正向电阻值的等效电路分别为图1和图2所示,此时流过二极管的最大电流,,当I D1和I D2大于该二极管的工作极限电流时就会使二极管损坏。

图1 图2 2. 用MF500HA型万用表的不同量程测量同一只二极管的正向电阻值,其结果不同,为什么? 提示:根据二极管的输入特性曲线和指针式万用表Ω档的等效电路,结合测试原理分析回答。 答:R×1Ω:r o=9.4Ω; R×10Ω: r o=100Ω; R×100Ω: r o=1073Ω; R×1kΩ: r o=32kΩ。因为二极管工作特性为正向导通、反向截至,尤其是正向导通的输入特性曲线为一条非线性曲线。用MF500HA型万用表

电子技术基础试题

电子技术基础(三)试题 一、单项选择题(本大题共15小题,每小题1分,共15分) 在每小题列出的四个备选项中只有一个选项是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。 1.以下关于脉动直流电压的描述中,正确的是() A.电压的大小和方向均不随时间变化 B.电压的大小随时间变化,但方向不随时间变化 C.电压的大小不随时间变化,但方向随时间变化 D.电压的大小和方向均随时间变化 2.以下关于理想电流源特性的描述中,正确的是() A.理想电流源的信号源内阻接近于零 B.理想电流源任何时候都可以串联在一起 C.理想电流源的输出电流与负载无关 D.理想电流源两端的电压与负载无关 3.电路如题3图所示,已知相量电流则向量电流I为() A.10∠90° (A) B.10∠-90° (A) C.2∠45° (A) D.2∠-45° (A) 4.N型半导体中的多数载流子是() A.自由电子B.空穴 C.五价杂质原子D.五价杂质离子 5.已知工作在放大区的某硅晶体三极管的三个电极电位 如题5图所示,则a、b、c三个电极分别为() A.发射极、基极、集电极 B.发射极、集电极、基极 C.基极、发射极、集电极 D.基极、集电极、发射极 6.理想运放的差模输入电阻R id和输出电阻R O分别为() A.R id=0,R O=0 B.R id=0,R O=∞ C.R id=∞,R O=0 D.R id=∞,R O=∞ 7.为避免集成运放因输入电压过高造成输入级损坏,在两输入端间应采取的措施是() A.串联两个同向的二极管B.串联两个反向的二极管 C.并联两个同向的二极管D.并联两个反向的二极管 8.在单相半波整流电路中,如变压器副方电压的有效值为U2,则二极管所承受的最高反向电压为()

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

电子技术基础期末复习题

2014级电气自动化技术专业 《电子技术基础》复习资料 一、填空题。 1、二极管工作在正常状态时,若给其施加正向电压时,二极管导通,若施加反向电压时,则二极管截至,这说明二极管具有单向导电性。 2、晶体管从内部结构可分为 NPN型和PNP型。 3、NPN型硅晶体管处于放大状态时,在三个电极电位中,其电位高低关系为 V C >V B >V E ,基极和发射极电位之差约等于。 4、在晶体管放大电路中,测得I C=3mA,I E=,则I B= , = 100。 5、按晶体管在电路中不同的连接方式,可组成共基、共集和共射三种基本放大电路;其中共集电路输出电阻低,带负载能力强;共射电路兼有电压放大和电流放大作用。 6、晶体管在电路中若用于信号的放大应使其工作在放大状态。若用作开关则应工作在饱和和截至状态,并且是一个无触点的控制开关。 7、组合逻辑电路是指任何时刻电路的输出仅由当时的输入状态决定。 8、用二进制表示有关对象的过程称为编码。 9、n个输出端的二进制编码器共有 2n个输入端,对于每一组输入代码,有1个输入端具有有效电平。 10、画晶体管的微变等效电路时,其B、E两端可用一个线性电阻等效代替,其C、E两端可以用一个可控电流源等效代替。 11、1位加法器分为半加器和全加器两种。 12、多级放大器的级间耦合方式有3种,分别是直接耦合、阻容耦合和变压器耦合。 13、多级放大电路的通频带总是比单级放大电路的通频带窄。 14、反馈是把放大器的输出量的一部分或全部返送到输入回路的过程。

15、反馈量与放大器的输入量极性相反,因而使 净输入量 减小的反馈,称为 负反馈 。 为了判别反馈极性,一般采用 瞬时极性法 。 16、三端集成稳压器CW7806的输出电压是 6 V 。 17、施加深度负反馈可使运放进入 线性 区,使运放开环或加正反馈可使运放进入 非线性 区。 18、逻辑功能为“全1出0,见0出1”的逻辑门电路时 与非 门。 19、一个二进制编码器若需要对12个输入信号进行编码,则要采用 4 位二进制代码。 20、电压跟随器的输出电压与输入电压不仅 大小相等,而且 相位 也相同。 二、 选择题。 1、把一个6V 的蓄电池以正向接法直接加到二极管两端,则会出现( C )问题。 A 正常 B 被击穿 C 内部断路 2、二极管的正极电位是-10V ,负极电位是,则该二极管处于( A )状态。 A 反偏 B 正偏 C 零偏 3、晶体管工作在放大区时,具有如下特点( A ). A 发射结反向偏置 B 集电结反向偏置 C 晶体管具有开关作用 D I C 与I B 无关 4、稳压二极管是特殊的二极管,它一般工作在( C )状态。 A 正向导通 B 反向截止 C 反向击穿 D 死区 5、测量放大器电路中的晶体管,其各极对地电压分别为,2V ,6V ,则该管( A )。 A 为NPN 管 B 为Ge 材料 C 为PNP 管 D 工作在截止区 6、理想集成运算放大器工作在饱和区,当+u >-u 时,则( A ) A om o U u += B m o U u 0-= C +=u u o D -=u u o 7、测得晶体管,3,404.2,30mA I A I mA I A I C B C B ====时;时μμ 则该晶体管的交流电流放大系数为( B )。

(完整版)《电工电子技术基础》试题库(附有答案)

一、填空题 1.已知图中 U1=2V, U2=-8V,则U AB=-10。 2.电路的三种工作状态是通路、断路、短路。 3.有三个6Ω的电阻,若把它们串联,等效电阻是 18 Ω;若把它们并联,等效电阻 2Ω;若两个并联后再与第三个串联,等效电阻是 9 Ω。 4.用电流表测量电流时,应把电流表串联在被测电路中;用电压表测量电压时,应把电压表与被测电路并联。 5.电路中任意一个闭合路径称为回路;三条或三条以上支路的交点称为节点。 6.电路如图所示,设U=12V、I=2A、R=6Ω,则U AB= -24 V。 7.直流电路如图所示,R1所消耗的功率为2W,则R2的阻值应为 2 Ω。 8.电路中电位的参考点发生变化后,其他各点的电位均发生变化。 9.在直流电路中,电感可以看作短路,电容可以看作断路。 9.我国工业交流电采用的标准频率是 50 Hz。 10.三相对称负载作三角形联接时,线电流I L与相电流I P间的关系是:I P=3 I L。 11.电阻元件是耗能元件,电容元件是储能元件。

12.已知一正弦电压u=311sin(628t-60o)V ,则其最大值为 311 V ,频率为 100 Hz ,初相位为 -60o 。 13.在纯电阻交流电路中,已知电路端电压u=311sin(314t-60o)V ,电阻R=10Ω,则电流I=22A,电压与电流的相位差φ= 0o ,电阻消耗的功率P= 4840 W 。 14.三角形联结的三相对称负载,若线电压为380 V ,则相电压为 380 V ;若相电流为10 A ,则线电流为 17.32 A 。 15.式Q C =I 2X C 是表示电容元件在正弦电路中的 无功 功率计算公式。 16.正弦交流电压的最大值U m 与其有效值U 之比为 2 。 17.电感元件是一种储能元件,可将输入的电能转化为 磁场 能量储存起来。 18.若三相电动势依次达到最大值的次序为e 1—e 2—e 3,则称此种相序为 正序 。 19.在正弦交流电路中,电源的频率越高,电感元件的感抗越 大 。 20.已知正弦交流电压的有效值为200V ,频率为100Hz ,初相角为30o,则其瞬时值表达式u= 282.8sin (628t+30o) 。 21.正弦量的三要素是 最大值或有效值 、 频率 和 初相位 。 22.对称三相电源是指三个 幅值 相同、 频率 相同和 相位互差120o 的电动势电源。 23.电路有 通路 、 开路 和 短路 三种工作状态。当电路中电流0 R U I S 、端电压U =0时,此种状态称作 短路 ,这种情况下电源产生的功率全部消耗在 内阻 上。

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

模拟电子技术基础期末试题 答案

课程 模拟电子技术基础 班级 学号 姓名 一、填空题:(15分,每空1分) 1. 环境温度变低,放大电路中晶体管的共射电流放大倍数 会变 。 2. 当设计要求输出功率为20W 的乙类推挽功放时,应选取P CM 至少为 W 的功率管。 3. 若将集成运放理想化,则差模输入电阻id r = ,o r = 。 4.在负反馈放大电路中,要达到提高输入电阻、增强带负载能力的目的,应该给放大器接入 反馈。 5. _______比例运算电路的输入电阻大,而_______比例运算电路的输入电阻小。 6. 差分放大电路的主要功能是放大 信号、抑制 信号。 7. 当输入信号的频率等于放大电路的L f 或H f 时,放大倍数的值约下降到中频时的 。 8. 根据相位平衡条件判断图示电路 (填“能”或“不能”)产生正弦波振荡。 9.负反馈使放大电路增益下降,但它可以 通频带, 非线性失真。

10. 在直流电源中,当变压器副边电压有效值2U =20V 时,单相全波整流电路的输出电压平均值)(AV O U = V ,若负载电阻L R =20Ω,则负载电流平均值)(AV O I = A 。 二、选择题:(20分,每题2分) 1.在本征半导体中加入 元素可形成P 型半导体。 A.五价 B.四价 C.三价 2.工作在放大区的某三极管,如果当B I 从12A μ增大到22A μ, C I 从1mA 变为1.9mA , 那么它的β约为 。 A. 90 B. 83.3 C. 86.4 3.以下基本放大电路中, 电路不具有电压放大能力。 A.共射 B.共集 C.共基 4.用恒流源取代长尾式差分放大电路中的发射极电阻e R ,将使电路的 。 A.差模放大倍数数值增大 B.抑制共模信号能力增强 C.差模输入电阻增大 5.在杂质半导体中,多数载流子的浓度主要取决于 。 A .温度 B. 掺杂工艺 C. 杂质浓度 6.为增大电压放大倍数,集成运放的中间级采用 。 A.共射放大电路 B.共集放大电路 C.共基放大电路 7.欲得到电流—电压转换电路,应在放大电路中引入 负反馈。 A.电压并联 B.电压串联 C.电流并联 D.电流串联 8.欲将方波转换成尖顶波电压,应选用 运算电路。 A.比例 B.加减 C.积分 D.微分 9.功率放大电路的最大输出功率是在输入电压为正弦波时,输出基本不失真情况下,负载上可获得的最大 。 A.交流功率 B.直流功率 C.平均功率 10. 在RC 桥式正弦波振荡电路中,当信号频率f =O f 时,RC 串并联网络呈 。 A.容性 B.阻性 C.感性 三、(本题10分)判断下图电路中是否引入了反馈,是直流反馈还是交流反馈,是正反馈还是负反馈,如果电路引入了交流负反馈,判断引入了哪种阻态。并估算此电路在深度负反馈条件下的源电压放大倍数。

电子技术基础期末考试试题及答案

10.电路如下图所示,若初态都为0,则=1的是()

精品文档 注:将选择题和判断题答案填写在上面的表格里,否则该题不得分 三、填空题(本大题共5小题,每小题4分,共20分) 21.JK触发器可避免RS触发器状态出现。与RS触发器比较,JK触发器增加了功能; 22.寄存器存放数码的方式有和两种方式; 23.二极管的伏安特性曲线反映的是二极管的关系曲线; 24.常见的滤波器有、和; 25.现有稳压值为5V的锗稳压管两只,按右图所示方法接入电路,则V0= 。 四、应用题(本大题共3小题,共35分,要求写出演算过程) 26.(10分)某JK触发器的初态Q=1,CP的下降沿触发,试根据下图所示的CP、J、K的波形,画出输出Q和Q的波形。27.(9分)如下图所示电路,测得输出电压只有0.7V,原因可能是: (1)R开路;(2)R L开路;(3)稳压二极管V接反; (4)稳压二极管V短路。应该是那种原因,为什么? 28.(16分)分析下图所示电路的工作原理,要求: (1)列出状态表,状态转换图;(2)说明计数器类型。

精品文档 参考答案及评分标准 一、单项选择题(本大题共15小题,每小题2分,共30分) 二、判断题(本大题共5小题,每小题3分,共15分) 三、填空题(本大题共5小题,每小题4分,共20分) 21.不确定,翻转 22.并行和串行 23.V D -I D 24.电容、电感、复式 25.5.3V 四、应用题(本大题共3小题,共30分,要求写出演算过程) 26. 27.解:稳压二极管V 接反,变成正向偏置,稳压二极管正向导通时,压降是0.7V 28.解:计数前,各触发器置0,使Q 2Q 1Q 0=000 (1)第一个CP 作用后,Q 0=0→1,0Q =1→0=CP 1,对F 1触发无效,所以Q 1保持0态不变。而F 2没有接到任何触发信号,所以Q 2亦保持0态不变。第二个CP 作用后,Q 0=1→0,而0Q =0→1=CP 1,对F 1属有效触发,所以Q 1=0→1。而1Q =1→0=CP 2,对F 2无效,所以F 2仍为原态即0态。依次按规律分析,可得如下计数状态表为 (2)从状态表和电路结构可知,该计数电路为三位异步二进制加法计数电路。

电子技术基础期末复习资料(含答案)

11级电子技术基础期末复习资料 一.概念填空: 1.电路由电源负载中间环节三部分组成。 2.电路中电流数值的正或负与参考方向有关,参考方向设的不同,计算结果也不同。 3.理想电压源的端电压与流过它s的电流的方向和大小无关,流过它的电流由端电压与外电路所共同决定。 4.由电路中某点“走”至另一点,沿途各元件上电压代数和就是这两点之间的电压。5.相互等效的两部分电路具有相同的伏安特性。 6.电阻并联分流与分流电阻值成反比,即电阻值大者分得的电流小,且消耗的功率也小。 7.串联电阻具有分压作用,大电阻分得的电压大,小电阻分得的电压小功率也小。 8.实际电压源与实际电流源的相互等效是对外电路而言。 9.在电路分析中,应用戴维南或诺顿定理求解,其等效是对外电路而言。 11 .常用的线性元件有电阻、电容、电感,常用的非线性元件有二极管和三极管。 12.二极管正向偏置,是指外接电源正极接二极管的阳(或正)极,外接电源负极接二极管 的阴(或负)极。 13.P型半导体是在本征半导体中掺杂 3 价元素,其多数载流子是空穴,少数

载流子是 自由电子 。 40. N 型半导体是在本征半导体中掺杂 5 价元素,其多数载流子是 自由电 子 ,少数载流子是 空穴 。 14.若三极管工作在放大区,其发射结必须 正偏 、集电结必须 反偏 ; 三极管最重要的特性是具有 电流放大 作用。 15.根据换路定则,如果电路在t=0时刻发生换路,则电容的电压u c(0+)= uc(0-) ,电 感电流i l (0+)= i l (0-) 。 16.三极管工作时,有三种可能的工作状态,它们分别是__放大状态_、___饱和状态、___ 截止状态_____。 38.3个输入的译码器,最多可译出 __8____(2×2×2)____ 路的输出。 17.4个输入的译码器,最多可译出 __16___(2×2×2×2)______ 路的输出。 18.根据逻辑功能的不同,可将数字电路分为___组合 ______逻辑电路和 时序________逻辑电路两大类。 19.F=A ——(B+C) +AB C —— 的最小项表达式是 m1+m2+m3+m6 。 20.两个电压值不同的理想电压源并联,在实际电路中将 不允许(或不存在) 。 33.两个电流值不同的理想电流源串联,在实际电路中将 不允许(或不存在) 。 21.基本数字逻辑关系有 与 、 或 、 非 三种。

电子技术基础实验答案

实验一、常用电子仪器的使用 一、实验目的 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 二、实验原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领: 1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 操作要领: 1)为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。 操作要领: 1)时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋钮,将时基线移至适当的位置。 2)清晰度的调节适当调节亮度和聚焦旋钮,使时基线越细越好(亮度不能太亮,一般能看清楚即可)。 3)示波器的显示方式示波器主要有单踪和双踪两种显示方式,属单踪显示的有“Y1”、“Y2”、“Y1+Y2”,作单踪显示时,可选择“Y1”或“Y2”其中一个按钮按下。属双踪显示的有“交 替”和“断续”,作双踪显示时,为了在一次扫描过程中同时显示两个波形,采用“交替”显示 方式,当被观察信号频率很低时(几十赫兹以下),可采用“断续”显示方式。 4)波形的稳定为了显示稳定的波形,应注意示波器面板上控制按钮的位置:a)“扫描

电工电子技术基础考试试卷答案

《电工电子技术基础》 一、填空题:(每题3分,共12题,合计 33 分) 1、用国家统一规定的图形符号画成的电路模型图称为,它只反映电路中电气方面相互联系的实际情况,便于对电路进行和。 2、在实际电路中,负载电阻往往不只一个,而且需要按照一定的连接方式把它们连接起来,最基本的连接方式是、、。 3、在直流电路的分析、计算中,基尔霍夫电流第一定律又称定律,它的数学表达式为。假若注入节点A的电流为5A和-6A,则流出节点的电流I 出= A 。 4、电路中常用的四个主要的物理量分别是、、、。 它们的代表符号分别是、、和; 5、在实际应用中,按电路结构的不同分为电路和电路。凡是能运用电阻串联或电阻并联的特点进行简化,然后运用_________求解的电路为_____;否则,就是复杂电路。 6、描述磁场的四个主要物理量是:___、_____、_______和_______;它们的代表符号分别是____、_____、______和____; 7、电磁力F的大小与导体中 ____的大小成正比,与导体在磁场中的有效 ________及导体所在位置的磁感应强度B成正比,即表达式为:________ ,其单位为:______ 。 8、凡大小和方向随时间做周期性变化的电流、电压和电动势交流电压、交流电流和交流电动势,统称交流电。而随时间按正弦规律变化的交流电称为正弦交流电。 9、______________、_______________和__________是表征正弦交流电的三个重要物理量,通常把它们称为正弦交流电的三要素。 10、已知一正弦交流电压为u=2202sin(314t+45°)V,该电压最大值为__________ V,角频率为__________ rad/s,初相位为________、频率是______ Hz周期是_______ s。 11、我国生产和生活所用交流电(即市电)电压为 _ V。其有效值为 _ V,最大值为____ V,工作频率f=____ __Hz,周期为T=_______s,其角速度ω=______rad/s,在1秒钟内电流的方向变化是________次。 二、判断下列说法的正确与错误:正确的打(√),错误的打(×),每小题1分,共 20 分 1、电路处于开路状态时,电路中既没有电流,也没有电压。(_) 2、理想的电压源和理想的电流源是不能进行等效变换。(_) 3、对于一个电源来说,在外部不接负载时,电源两端的电压大小等于电源电动势的大小,且 方向相同。(_) 4、在复杂电路中,各支路中元器件是串联的,流过它们的电流是相等的。(_) 5、用一个恒定的电动势E与内阻r串联表示的电源称为电压源。(_) 6、理想电流源输出恒定的电流,其输出端电压由内电阻决定。(_) 7、将一根条形磁铁截去一段仍为条形磁铁,它仍然具有两个磁极. (_ ) 8、磁场强度的大小只与电流的大小及导线的形状有关,与磁场媒介质的磁导率无关(_)

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

电子技术基础期末复习

第1章检测题 一、填空题:(每空0.5分,共25分) 1、N型半导体是在本征半导体中掺入极微量的五价元素组成的。这种半导体的多数载流子为自由电子,少数载流子为空穴,不能移动的杂质离子带正电。P型半导体是在本征半导体中掺入极微量的三价元素组成的。这种半导体的多数载流子为空穴,少数载流子为自由电子,不能移动的杂质离子带负电。 2、三极管的部结构是由基区、发射区、集电区及发射结和集电结组成的。三极管对外引出电极分别是基极、发射极和集电极。 3、PN结正向偏置时,外电场的方向与电场的方向相反,有利于多数载流子的扩散运动而不利于少子的漂移;PN结反向偏置时,外电场的方向与电场的方向相同,有利于少子的漂移运动而不利于多子的扩散,这种情况下的电流称为反向饱和电流。 4、PN结形成的过程中,P型半导体中的多数载流子空穴向N区进行扩散,N型半导体中的多数载流子自由电子向P区进行扩散。扩散的结果使它们的交界处建立起一个空间电荷区,其方向由N区指向P区。空间电荷区的建立,对多数载流子的扩散运动起削弱作用,对少子的漂移运动起增强作用,当这两种运动达到动态平衡时,PN结形成。 5、检测二极管极性时,需用万用表欧姆挡的R×1K档位,当检测时表针偏转度较大时,则红表棒接触的电极是二极管的阴极;黑表棒接触的电极是二极管的阳极。检测二极管好坏时,两表棒位置调换前后万用表指针偏转都很大时,说明二极管已经被击穿损坏;两表棒位置调换前后万用表指针偏转都很小时,说明该二极管已经绝缘老化不通。 6、单极型晶体管又称为MOS管。其导电沟道分有N沟道和P沟道。 7、稳压管是一种特殊物质制造的面接触型硅晶体二极管,正常工作应在特性曲线的反向击穿区。 8、MOS管在不使用时应避免栅极悬空,务必将各电极短接。 二、判断正误:(每小题1分,共10分) 1、P型半导体中不能移动的杂质离子带负电,说明P型半导体呈负电性。(错) 2、自由电子载流子填补空穴的“复合”运动产生空穴载流子。(错) 3、用万用表测试晶体管时,选择欧姆档R×10K档位。(错) 4、PN结正向偏置时,其外电场方向一致。(错) 5、无论在任何情况下,三极管都具有电流放大能力。(错) 6、双极型晶体管是电流控件,单极型晶体管是电压控件。(对)

电子技术基础考试试题及参考答案

电子技术基础考试试题及参考答案 试题 一、填空题(每空1分,共30分) 1.硅二极管的死区电压为_____V,锗二极管的死区电压为_____V。 2.常用的滤波电路主要有_____、_____和_____三种。 3.晶体三极管的三个极限参数为_____、_____和_____。 4.差模信号是指两输入端所施加的是对地大小_____,相位_____的信号电压。 5.互补对称推挽功率放大电路可分成两类:第一类是单电源供电的,称为_____电路,并有_____通过负载输出;第二类是双电源供电的,称为_____电路,输出直接连接负载,而不需要_____。 6.功率放大器主要用作_____,以供给负载_____。 7.集成稳压电源W7905的输出电压为_____伏。 8.异或门的逻辑功能是:当两个输入端一个为0,另一个为1时,输出为_____;而两个输入端均为0或均为1时,输出为_____。 9.(1111)2+(1001)2=( _____ )2(35)10=( _____ )2 (1010)2–(111)2=( _____ )2(11010)2=( _____ )10 (1110)2×(101)2=( _____ )2 10.逻辑函数可以用_____、_____、_____等形式来表示。 11.组合逻辑电路包括_____、_____、_____和加法器等。 二、判断题(下列各题中你认为正确的,请在题干后的括号内打“√”,错误的打“×”。全打“√”或全打“×”不给分。每小题1分,共10分) 1.放大器采用分压式偏置电路,主要目的是为了提高输入电阻。() 2.小信号交流放大器造成截止失直的原因是工作点选得太高,可以增大R B使I B减小,从而使工作点下降到所需要的位置。() 3.对共集电极电路而言,输出信号和输入信号同相。() 4.交流放大器也存在零点漂移,但它被限制在本级内部。() 5.同相运算放大器是一种电压串联负反馈放大器。() 6.只要有正反馈,电路就一定能产生正弦波振荡。() 7.多级放大器采用正反馈来提高电压放大倍数。() 8.TTL集成电路的电源电压一般为12伏。() 9.流过电感中的电流能够突变。() 10.将模拟信号转换成数字信号用A/D转换器,将数字信号转换成模拟信号用D/A转换器。() 三、单选题(在本题的每小题备选答案中,只有一个答案是正确的,请把你认为正确答案的代号填入题干后的括号内,多选不给分。每小题2分,共26分) 1.用万用表测得某电路中的硅二极管2CP的正极电压为2V,负极电压为1.3V,则此二极管所处的状态是() A.正偏B.反偏C.开路D.击穿 2.放大器的三种组态都具有() A.电流放大作用B.电压放大作用 C.功率放大作用D.储存能量作用 3.下列各图中,三极管处于饱和导通状态的是()

数字电子技术基础期末试题及答案

数字电子技术基础期末 试题及答案 Company number:【WTUT-WT88Y-W8BBGB-BWYTT-19998】

一、填空题:(每空1分,共16分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、( 逻辑图 )、( 逻辑表达式 )和( 卡诺图 )。 2.将2004个“1”异或起来得到的结果是( 0 )。 3.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是( TTL )电路和( CMOS )电路。 4.施密特触发器有( 两 )个稳定状态.,多谐振荡器有( 0 )个稳定状态。 5.已知Intel2114是1K* 4位的RAM 集成电路芯片,它有地址线( 10 )条,数据线( 4 )条。 6.已知被转换的信号的上限截止频率为10kHz ,则A/D 转换器的采样频率应高于( 20 )kHz ;完成一次转换所用的时间应小于( 50 )。 7.GAL 器件的全称是( 通用阵列逻辑 ),与PAL 相比,它的输出电路是通过编程设定其( 输出逻辑宏单元 )的工作模式来实现的,而且由于采用了( E 2CMOS )的工艺结构,可以重复编程,使用更为方便灵活。 二、根据要求作题:(共16分) 1. 试画出用反相器和集电极开路与非门实现逻辑函数 C B AB Y +=。 解:1. 2、图1、2中电路由TTL 门电路构成,图3由 CMOS 门电路构 成,试分别写出F1、F2、F3的表 达式。 F C F B A F = =+=321; ;解:.2. 三、已知电路及输入波形如图4(a )(b )所示,其中FF1是D 锁存器,FF2是维持-阻塞D 触发器,根据CP 和D 的输入波形画出Q1和Q2的输出波形。设触发器的初始状态均为0。 (8分) 解: R +

电子技术基础实验答案

电子技术基础实验答案 导语:在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。以下为大家介绍电子技术基础实验答案文章,欢迎大家阅读参考! 实验一、常用电子仪器的使用 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领:

1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 1)为了防止过载损坏仪表,在开机前和测量前应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。

电子技术基础考试必备十套试题,有答案

电子技术基础试题(八) 一.填空题:(每题3分,共30分) 1、PN结具有__________性能。 2、一般情况下,晶体三极管的电流放大系数随温度的增加而_______。 3、射极输出器放在中间级是兼用它的____________大和____________ 小的特点,起阻抗变换作用。 4、只有当负载电阻R L和信号源的内阻r s______时,负载获得的功率最 大,这种现象称为______________。 5、运算放大器的输出是一种具有__________________的多级直流放大器。 6、功率放大器按工作点在交流负载线上的位置分类有:______类功放, ______类功放和_______类功放电路。 7、甲乙推挽功放电路与乙类功放电路比较,前者加了偏置电路向功放 管提供少量__________,以减少__________失真。 8、带有放大环节的串联型晶体管稳压电路一般由__________ 、 和___________四个部分组成。 9.逻辑代数的三种基本运算是 _________ 、___________和___________。 10.主从触发器是一种能防止__________现象的实用触发器。 二.选择题(每题3分,共30分) 1.晶体管二极管的正极的电位是-10V,负极电位是-5V,则该晶体二极管处于:( )。

A.零偏 B.反偏 C.正偏 2.若晶体三极管的集电结反偏、发射结正偏则当基极电流减小时,使该三极管:()。 A.集电极电流减小 B.集电极与发射极电压V CE上升 C.集电极电流增大 3.某三级放大器中,每级电压放大倍数为Av,则总的电压放大倍数:()。 A.3A V B.A3V C.A V3/3 D.A V 4.正弦波振荡器中正反馈网络的作用是:()。 A.保证电路满足振幅平衡条件 B.提高放大器的放大倍数,使输出信号足够大 C.使某一频率的信号在放大器工作时满足相位平衡条件而产生自激 振荡 5.甲类单管功率放大电路中结构简单,但最大的缺点是:()。 A.有交越失真 B.易产生自激 C.效率低6.有两个2CW15稳压二极管,其中一个稳压值是8V,另一个稳压值为 7.5V,若把两管的正极并接,再将负极并接,组合成一个稳压管接 入电路,这时组合管的稳压值是:( )。 A.8V 7.为了减小开关时间,常在晶体管的基极回路中引入加速电容,它的主要作用是:()。

电子技术基础期末考试考试卷及答案

9.下图所示可能是鈡控同步RS 触发器真值表的是() 10.电路如下图所示,若初态都为0,则n+1=1Q 的是() 11.五位二进制数能表示十进制数的最大值是() A.31B.32C.10 D.5 12.n 个触发器可以构成最大计数长度为的计数器() A.n B.2n C.n 2 D.2n 13.一个4位二进制加法计数器起始状态为0010,当最低位接收到10个脉冲时,触发器状态为() A.0010B.0100C.1100D.1111 14.下图所示的电路中,正确的并联型稳压电路为() 15.在有电容滤波的单相桥式整流电路中,若要使输出电压为60V ,则变压器的次级电压应为() A.50VB.60VC.72VD.27V 二、判断题(本大题共5小题,每小题3分,共15分)(对打√,错打×) 16.P 型半导体中,多数载流子是空穴( ) 17.环境温度升高时,半导体的导电能力将显著下降( ) 18.二极管正偏时,电阻较小,可等效开关断开() 19.稳压二极管工作在反向击穿区域() 20.光电二极管是一种把电能转变为光能的半导体器件()

注:将选择题和判断题答案填写在上面的表格里,否则该题不得分 三、填空题(本大题共5小题,每小题4分,共20分) 21.JK触发器可避免RS触发器状态出现。与RS触发器比较,JK触发器增加了功能; 22.寄存器存放数码的方式有和两种方式; 23.二极管的伏安特性曲线反映的是二极管的关系曲线; 24.常见的滤波器有、和; 25.现有稳压值为5V的锗稳压管两只,按右图所示方法接入电路,则V0=。 四、应用题(本大题共3小题,共35分,要求写出演算过程) 26.(10分)某JK触发器的初态Q=1,CP的下降沿触发,试根据下图所示的CP、J、K的波形,画出输出Q和Q的波形。27.(9分)如下图所示电路,测得输出电压只有0.7V,原因可能是: (1)R开路;(2)R L开路;(3)稳压二极管V接反; (4)稳压二极管V短路。应该是那种原因,为什么? 28.(16分)分析下图所示电路的工作原理,要求: (1)列出状态表,状态转换图;(2)说明计数器类型。

电子技术基础试题

。电子技术基础试题库(第四版) 第一章:半导体二极管 一、填空题 1、根据导电能力来衡量,自然界的物质可以分为______________、__________和__________三类。 导体、绝缘体、半导体 2、PN节具有__________特性,即加正向压时__________,加反向压时__________。 单向导电特性、导通、截止 3、硅二极管导通时的正向管压降约__________V,锗二极管导通时的正向管压降约__________V。 、 4、使用二极管时,应考虑的主要参数是__________、__________。 最大整流电流、最高反向工作电压 5、在相同的反向电压作用下,硅二极管的反向饱和电流常__________于锗二极管的反向饱和电流,所以硅二极管的热稳定性较__________ 小、好 6、根据导电能力来衡量,自然界的物质可分为_______ 、_________和__________三类。导体, 绝缘体,半导体 7、PN结具有_____________性能,即加正向电压时PN结________,加反向电压时的PN结 _________。单向导电性,导通,截止 二,判断题 1、半导体随温度的升高,电阻会增大。()N 2、二极管是线性元件。()N 3、不论是哪种类型的半导体二极管,其正向电压都为0.3V左右。()N 4、二极管具有单向导电性。()Y 5、二极管的反向饱和电流越大,二极管的质量越好。()N 6、二极管加正向压时一定导通()N 7、晶体二极管是线性元件。()N 8、一般来说,硅晶体二极管的死区电压小于锗晶体二极管的死区电压。()Y 三、选择题 1、PN结的最大特点是具有()C A、导电性B、绝缘性C、单相导电性 2、当加在硅二极管两端的正向电压从0开始逐渐增加时,硅二极管()C A、立即导通B、到0.3V才开始导通C、超过死区压才开始导通D、不导通 3、当环境温度升高时,二极管的反向电流将()A A、增大B、减少C、不变D、先变大后变小 4、半导体中传导电流的载流子是()。C A、电子 B、空穴 C、电子和空穴 5、P型半导体是()B A、纯净半导体 B、掺杂半导体 C、带正电的 四、综合题

相关文档
相关文档 最新文档