文档库 最新最全的文档下载
当前位置:文档库 › 三人表决器任务书

三人表决器任务书

三人表决器任务书
三人表决器任务书

三人表决器的设计与制作任务书

实训班级:学生姓名:实训日期:

一.任务要求:

1)设计一个三人表决器。表决信号用三个开关A,B,C控制,开关状态为1,表示同意。开关状态为0,表示不同意。输出结果用发光二极管Y表示,灯亮为1状态,表示两人或两人以上同意,表决通过;灯不亮为0状态,表示两人或两人以上不同意,表决没有通过。2)试用门电路设计能实现该功能的表决器,电路要简洁,经济。

3)在完成任务过程中注意用电安全和各项操作安全。

4)学生先分组独立完成任务一至任务三,任务四和任务六在教师的引导下完成。

二:任务内容及步骤

任务一:完成真值表的填写

任务二:根据真值表写出逻辑表达式并化简

任务三:根据逻辑表达式绘制逻辑电路图

任务四:元器件的选择

任务五:最终实物电路图的绘制

任务六、;完成电路的安装与调试并验证其功能三、任务评价

学生自评:

教师点评:

抢答器设计任务书

一、设计内容 智力竞赛抢答器一 设计要求及技术指标: (1) 设计制作一个可容纳四路参赛的数字式抢答器,分别用4个按钮S1 ~ S4表示。 (2) 设置一个系统清除和抢答控制开关S,该开关由主持人控制。 (3) 电路具有第一抢答信号的鉴别和锁存功能。在主持人将系统复位并发出抢答指令后,若参赛者按抢答开关,则电路显示抢答者的组别;此时,电路应具备自锁功能,使别组的抢答开关不起作用; 智力竞赛抢答器二 设计要求及技术指标: (1) 设计制作一个可容纳八路参赛的数字式抢答器,分别用4个按钮S1 ~ S4表示。 (2) 设置一个系统清除和抢答控制开关S,该开关由主持人控制。 (3) 电路具有第一抢答信号的鉴别和锁存功能。在主持人将系统复位并发出抢答指令后,若参赛者按抢答开关,则电路显示抢答者的组别;此时,电路应具备自锁功能,使别组的抢答开关不起作用; 智力竞赛抢答器三 设计要求及技术指标: (1) 设计制作一个可容纳八组参赛的数字式抢答器,分别用4个按钮S1 ~ S4表示。 (2) 设置一个系统清除和抢答控制开关S,该开关由主持人控制。 (3) 电路具有第一抢答信号的鉴别和锁存功能。在主持人将系统复位并发出抢答指令后,若参赛者按抢答开关,则电路显示抢答者的组别;此时,电路应具备自锁功能,使别组的抢答开关不起作用;

(4)电路应具备抢答成功声音提示功能,声音持续时间5~10s; 二、设计与制作步骤 (一)选定总体方案与框图 根据设计任务、指标要求和给定的条件,分析所要设计的电路应该完成的功能,并将总体功能分解成若干单项的功能,分清主次和相互的关系,形成若干单元功能块组成的总体方案。该方案可以有多个,需要通过实际的调查研究、查阅有关资料和集体讨论等方式,着重从方案能否满足要求、构成是否简单、实现是否经济可行等方面,对几个方案进行比较和论证,择优选取。对选取的方案,常用方块图的形式表示出来。注意每个方块尽可能是完成某一种功能的单元电路,尤其是关键的功能块的作用与功能一定要表达清楚。还要表示出它们各自的作用和相互之间的关系,注明信息的走向和制约关系。 (二)分析单元电路的功能 任何复杂的电子电路装置和设备,都是由若干具有简单功能的单元电路组成的。总体方案的每个方块,往往是由一个主要单元电路组成的,它的性能指标也比较单一。在明确每个单元电路的技术指标的前提下,要分析清楚各个单元电路的工作原理,设计出各单元电路的结构形式。要利用过去学过的或熟悉的单元电路,也要善于通过查阅资料、分析研究一些新型电路,开发利用一些新型器件。 各单元电路之间要注意在外部条件、元器件使用、连接关系等方面的相互配合,尽可能减少元件的类型、电平转换和接口电路,以保证电路简单、工作可靠、经济实用。各单元电路拟定之后,应全面地检查一遍,看每个单元各自的功能是否能实现,信息是否能畅通,总体功能是否满足要求。如果存在问题,还要针对问题局部调整。 (三)选择器件与参数计算

四人表决器课程设计

电子课程设计报告 题目:设计四人表决器 课程:电子技术课程设计 学生姓名: 学生学号: 1414020221 年级: 2014级 专业:电子信息工程 班级: 2班 指导教师:赵旺 电子工程学院制 2016年5月

设计四人表决器 学生:任春晖 指导教师:赵旺 电子工程学院电子信息工程 1设计的任务与要求 1.1课程设计的任务 1.综合应用数字电路知识设计一个四电路表决器。了解各种元器件的原理及其应用。 2.深入了解表决器的工作原理。 3.掌握multisim软件的操作并对设计进行仿真。 4.锻炼自己的动手能力和实际解决问题的能力。 5.通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握四人表决器的设计方法。 1.2课程设计的要求 当输入端有三个或三个以上的高电平,出入端才为高电平(即灯亮,表决通过)。否则灯不亮(表决不通过)。 2四人表决器方案制定 2.1表决电路设计的原理 使用中、小规模集成电路来设计组合电路是最常见的逻辑电路设计方法。设计组合电路的一般步骤如图一所示。 图一组合逻辑电路设计流程图

根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。 2.2表决电路的设计方案 设计中我们设A、B、C、D为表决人,若它们中有三个或三个以上同意(即为高电平1),则表决结果通过(即表决结果F为高电平1),否则表决不通过(即F为低电平0)。 ①根据步骤一中所述作出真值表: 表1 真值表

抢答器设计任务书

一、设计内容 智力竞赛抢答器一设计要求及技术指标: (1) 设计制作一个可容纳四路参赛的数字式抢答器,分别用4个按钮S1 ~ S4表示。 (2) 设置一个系统清除和抢答控制开关S,该开关由主持人控制。 (3) 电路具有第一抢答信号的鉴别和锁存功能。在主持人将系统复位并发出抢答指令后,若参赛者按抢答开关,则电路显示抢答者的组别;此时,电路应具备自锁功能,使别组的抢答开关不起作用; 智力竞赛抢答器二 设计要求及技术指标: (1) 设计制作一个可容纳八路参赛的数字式抢答器,分别用4个按钮S1 ~ S4表示。 (2) 设置一个系统清除和抢答控制开关S,该开关由主持人控制。 (3) 电路具有第一抢答信号的鉴别和锁存功能。在主持人将系统复位并发出抢答指令后,若参赛者按抢答开关,则电路显示抢答者的组别;此时,电路应具备自锁功能,使别组的抢答开关不起作用; 智力竞赛抢答器三 设计要求及技术指标: (1) 设计制作一个可容纳八组参赛的数字式抢答器,分别用4个按钮S1 ~ S4表示。 (2) 设置一个系统清除和抢答控制开关S,该开关由主持人控制。 (3) 电路具有第一抢答信号的鉴别和锁存功能。在主持人将系统复位并发出抢答指令后,若参赛者按抢答开关,则电路显示抢答者的组别;此时,电路应具备自锁功能,使别组的抢答开关不起作用;

(4)电路应具备抢答成功声音提示功能,声音持续时间5?10s; 二、设计与制作步骤 (一)选定总体方案与框图 根据设计任务、指标要求和给定的条件,分析所要设计的电路应该完成的功能,并将总体功能分解成若干单项的功能,分清主次和相互的关系,形成若干单元功能块组成的总体方案。该方案可以有多个,需要通过实际的调查研究、查阅有关资料和集体讨论等方式,着重从方案能否满足要求、构成是否简单、实现是否经济可行等方面,对几个方案进行比较和论证,择优选取。对选取的方案,常用方块图的形式表示出来。注意每个方块尽可能是完成某一种功能的单元电路,尤其是关键的功能块的作用与功能一定要表达清楚。还要表示出它们各自的作用和相互之间的关系,注明信息的走向和制约关系。 (二)分析单元电路的功能任何复杂的电子电路装置和设备,都是由若干具有简单功能的单元电路组成的。 总体方案的每个方块,往往是由一个主要单元电路组成的,它的性能指标也比较单一。在明确每个单元电路的技术指标的前提下,要分析清楚各个单元电路的工作原理,设计出各单元电路的结构形式。要利用过去学过的或熟悉的单元电路,也要善于通过查阅资料、分析研究一些新型电路,开发利用一些新型器件。 各单元电路之间要注意在外部条件、元器件使用、连接关系等方面的相互配合,尽可能减少元件的类型、电平转换和接口电路,以保证电路简单、工作可靠、经济实用。各单元电路拟定之后,应全面地检查一遍,看每个单元各自的功能是否能实现,信息是否能畅通,总体功能是否满足要求。如果存在问题,还要针对问题局部调整。 (三)选择器件与参数计算 单元电路确定之后,根据其工作原理和所要实现的功能,首先要选择在性能上能

抢答器课设报告DSP

华东交通大学理工学院 课程设计报告书 所属课程:数字电子技术 设计题目:八路抢答器的设计与调试 分院:电信分院 办级:2008 通信工程〈2〉班 姓名:骆玉春 学号:20080210420224 指导教师:李杰 实验地点:实验楼406(电子技能实验室)2010 年 12 月 29 日

华东交通大学理工学院 课程设计任务书 专业:08通信工程班级: 2班姓名:骆玉春 一、课程设计题目 八路抢答器的设计与调试 二、课程设计工作:自 2010 年 12 月 25 日起至2010 年 12 月 25 日止。 三、课程设计的内容要求: 1、识别各种电子器件及其图形表示和文字符号。 2、掌握各种电子元器件电路特性和电子元器件的作用。 3、掌握一种焊接技术。 4、熟练掌握抢答器的工作原理,并读懂电路原理图。 5、按照原理图,万用板,正确装配器件,并正确焊接和调试。 学生签名: 2010年 12月 29日

课程设计评阅意见 评阅人职称 20 年月日

目录 第一章课程设计任务和目的.............................5 一、设计任务............................................5 二、设计目的............................................5 第二章分析与设计......................................6 一、工作原理及流程.......................................6 二、分析与设计.........................................7第三章系统实施........................................17 第四章原理图及元器件清单.............................18第五章实验小结.........................................20 第六章参考文献.........................................21

基于PLC四路抢答器的设计毕业设计

毕业设计设计任务书 设计题目: 基于PLC四路抢答器的设计 设计要求: 1. 抢答器可同时供四组选手参加比赛 2. 主持人有三个控制按钮,用来控制抢答开始、复位和答题计时的开始。 3.每当主持人发出开始抢答指令后,那组选手最先按下抢答按钮,则数码管1就显示该组的编号,同时绿色指示灯亮,音响电路给出信箱提示信号(持续3S),以指示抢答成功,并对其后的抢答信号不再响应。选手答题完毕后,由主持人按下复位按钮,系统才能开始下一轮抢答。 4.违规抢答:若选手在未开时抢答试题时抢答了,则视为违规,违规时数码管1显示其编号,同时红灯亮,音响电路发出声响。 5.抢答限时:当主持人按下开始按钮后,定时器T0开始计时(设定30S)。若30S时限到仍无人抢答,则黄灯亮、音响电路3发出声响,以示选手放弃该题。 6.答题限时:在抢答成功后,主持人按下答题计时开始按钮,同时数码管2、3上显示答题倒计时时间(该时间设定为50S),选手必须在设定的时间内完成答题。否则,音响电路发出答题超时报警信号 设计进度要求: 第一、二周:确定题目,查阅资料,根据要求分析抢答器的设计、工作原理。 第三、四周:根据工作原理画流程图并编译梯形图,并进行硬件设计。 第五、六周:对软件设计,进行上机调试,找出问题,进行修改,并改进设计。 第七、八周:撰写论文,毕业答辩。 指导教师(签名)

摘要 近年来随着科技的飞速发展, PLC的应用不断地走向深入,同时带动传统的控制检测技术的不断更新,可编程控制器由于其优良的控制性能,极高的可靠性,在各行各业中的应用日益广泛普及。对于抢答器其广泛用于电视台、商业机构、企事业工会组织、俱乐部及学校等单位组织举办各种知识、技术竞赛及文娱活动时作抢答之用,为竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活,并且给人的视觉效果非常好,是各单位开展素质教育、精神文明、娱乐活动的必备产品。 本次设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先,选择这个题目之后,我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路,然后仔细分析PLC控制的四路智力抢答器的工作原理,以及它的一些工作过程,分析后得出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要25个,输入端口需要7个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点,因此,我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活,维护使用方便等特点。 关键词:智力控制,四路抢答器, PLC

三人表决器电路的设计与安装

三人表决器电路的设计与安装

一、实验目的 正确理解数字电路的要求,能知道与门、或门、非门的含义;做到了解要用到的每个芯片是什么门集成电路以及芯片的各个引脚都具有哪些功能,我们可以去图书馆查阅书籍也可上网去阅读相关的网页资料。做到能真正了解数字电路的构造原理,这样我们才可以更好地焊好我们想要实现的功能产品以及学好有关数字电路方面的知识。 二、实验要求 1)判断正确的引脚位置; 2)理解数字电路的原理,掌握操作步骤,能正确安装所选定的电路; 3)掌握测试仪表仪器检测原件的使用及调整; 4)会根据测试结果分析故障产生的原因; 5)会利用原理图纸,判断具体故障的原因; 6)会根据自己所仿真的电路原理图画出实物装配图。 三、实验所需元件清单如下表所示: 四、实验产品所需主要芯片介绍 74LS00芯片是常用的具有四组2输入端的与非门集成电路,74LS10芯片是常用的具有三组3输入端的与非门集成电路,他们的作用都是实现一个与非门。其引脚排列分别如下图所示。

74LS00管脚排列图 74LS10管脚排列图 五、三人表决器逻辑电路设计 5.1、设计要求:当A、B、C三人表决某个提案时,两人或两人以上同意,提案通过,否则提案不通过。用与非门实现电路。 设A、B、C三个人为输入变量,同意提案时用输入1表示,不同意时用输入0表示;表决结果Y为输出变量,提案通过用输出1表示,提案不通过用输出0表示。由此可列出真值表,如下表所示。

根据真值表,我们可以写出输出函数的与或表达式,即: 对上式进行化简,得: 将上式变换成与非表达式为: 故,根据输出逻辑表达式,我们可以画出逻辑图为: 5.2、三人表决器电路原理图(仿真图) 我们用发光二极管的状态来表示表决结果通过与否,当发光二级管点亮表示表决结果通过,熄灭表示表决结果不通过。三人A、B、C的表决情况用按钮来实现,按下按钮表示同意,不按表示不同意。 根据上述说明,结合前面的逻辑电路,可得到三人表决器的原理图(仿真图)为: 5.3、三人表决器电路的安装与调试 按安装电路图完成电路的组装后,通上+5v电源,按下输入端A、B、C 的按钮进行不同的组合,观察发光二极管的亮灭,验证电路的逻辑功能。如果 输出结果与输入中的多数一致,则表明电路功能正确,即多数人同意(电路中 用“1”表示),表决结果为同意;多数人不同意(电路中用“0”表示),表决 结果为不同意。 5.4、三人表决器产品正面实物图及反面焊接图 5.5、三人表决器产品实验现象与结果分析 实验现象:当电路板焊接完成后,通上+5v电源,分别同时按下按钮S1、 S2,S2、S3,S1、S3或S1、S2、S3,观察到发光二极管均能点亮,且当分别只按下

三人表决器实验

三人表决器实验 我们实验采取3种输入方式:原理图方式,VHDL方式,VerilogHDL.你可以只看一种.下面我分别一一介绍 三人表决器的功能描述 三个人分别用手指拨动开关SW1、SW2、SW3来表示自己的意愿,如果对某决议同意,各人就把自己的指拨开关拨到高电平(上方),不同意就把自己的指拨开关拨到低电平(下方)。表决结果用LED(高电平亮)显示,如果决议通过那么实验板上L2(黄灯)亮;如果不通过那么实验板上L1(红灯)亮;如果对某个决议有任意二到三人同意,那么此决议通过,L2亮;如果对某个决议只有一个人或没人同意,那么此决议不通过,L1亮。 采用原理图设计三人表决器 我们根据三人表决器的直值表,可以通过卡诺图化简可以得到: L2=SW1SW2+SW1SW3+SW2SW3 L1=_L2 那么我们可以在MAX+plusII中用原理图实现上面的三人表决器 ~ 下面仅把和VHDL不同的详细写下,相同或基本相同的就一带而过: (1)打开MAX+plusII (2)新建一个图形文件:File菜单>new 新建文件时选择Graphic Editor file

点OK (3)输入设计文件 我们现在在图形文件中输入电路,我们这个电路需要AND2、OR3、NOT三个逻辑门电路和输入输出端,你可以 Symbol ->Enter Symbol(或者双击空白处) 弹出窗口: %

在Symbol Name中输入and2,点OK 同样可以加入or3、input、output、not 对input、output,鼠标左键双击PIN_NAME,那么PIN_NAME被选中,并且变黑,然后输入你要改的名字,如SW1 把元件拖动到合适位置,将光标放到元件的引线出,可以发现光标变为十字星,此时摁住左键就可以进行连线。

课程设计任务书三路抢答器的plc控制

佛山科学技术学院 课程设计任务书 电气工程及其自动化专业 13年级 2 班付琴芳 一、设计题目 三路抢答器的PLC控制 二、主要内容 该抢答器作为智力竞赛的评判装置,根据应答者抢答情况自动设定答题时间,并根据答题情况用灯光、声音显示其答题正确、错误及违规,在主持人的操作下,对答题者所显示的分数值进行加分、减分或违规扣分。 三、具体要求 1.主持人提出问题并按下启动按钮(开始抢答)后,若10s内无人抢答,则有声音提示,说明该题无人抢答,自动作废。 2.主持人提出问题在未按下启动按钮(开始抢答)之前抢答,则违规,抢答器报出违规信号,并作减分处理。 3.主持人提出问题并按下启动按钮(开始抢答)后,第一个按下按钮的信号有效,后按下的按钮信号无效。 4.主持人按下计时按钮,开始计时。答题时间为1min,答题过程中有灯光提示时间,时间到并有声音指示。 5.抢答器有数码显示器显示各答题者的分数,由主持人控制,答对者加10分,答错者减10分,违规者扣5分,减分计算中若出现负分作0分处理。 6.答题完毕按下复位按钮,恢复抢答器原始状态,为下一轮抢答做好准备。 答题过程中灯光、音响的安排及注意事项见课程设计指导书。 在上述具体的要求下,完成硬件电路的制作和接线,PLC控制程序的设计及联机调试,直至满足要求。 四、进度安排

1.理解课程设计内容及设计要求,查阅资料(第一周的星期一)。 2. PLC外围硬件电路的制作和接线,构思设计方案(第一周的星期二至星期三)。 3. PLC控制程序设计、程序调试及系统的总体调试,撰写课程设计报告(第一周的星期四至第二周星期四)。 4. 课程设计答辩、批改设计报告,登载成绩(第二周星期五)。 五、完成后应上交的材料 PLC外围硬件连接电路、程序清单及课程设计总结报告。 六、总评成绩 指导教师签名日期年月日 系主任审核日期年月日

数电课程设计八路智力竞赛抢答器设计

数电课程设计八路智力竞赛抢答器设 计

课程设计任务书 题目: 八路智力竞赛抢答器设计 初始条件: ◆教材:《电子线路设计·实验·测试》第三版谢自美主编华中 科技大学出版社 ◆元器件:74LS48三片,72LS192两片,74LS279、74LS148、 74LS00、74LS11、555各一片,数码管三个,发光二极管一个,开 关、电阻、电容若干,面包板,导线若干 ◆仿真:Proteus仿真软件 要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) ◆多路智力竞赛抢答器功能要求: 基本功能: 1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,她们的编号分别是0、1、2、3、4、5、6、7,各用一个抢 答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、 S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。

3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编 号,同时扬声器给出音响提示。另外,要封锁输入电路,禁止其 它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零 为止。 扩展功能: 1.抢答器具有定时抢答的功能,且一次抢答的时间能够由主持人设定。当节目支持人按下“开始”按钮后,要求定时器立即倒计 时,并在显示器上显示,同时扬声器发出短暂的声响,声响持续 时间0.5s左右。 2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系 统清零为止。 3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显 示器上显示00. 报告要求: 课程设计的内容要求用A4纸打印,且页数不得少于20页。 时间安排:

三人表决器实验报告

电子技术与仿真 项目报告 项目名称:三人表决器的制作 专业班级:电气1 2 1 姓名:刘斌 学号: 2 4 指导教师:张琴 江西工业工程职业技术学院电工电子实训中心

一、项目目的 正确理解数字电路的要求,能知道与门、或门、非门的含义;做到了解要用到的每个芯片的引脚是什么门电路,可以去图书馆查阅书籍也可上网去阅读相关的网页资料。做到能真正了解电路的构造原理,这样才可以更好学好这门电路的元器件的应用。 二、项目要求 1)判断正确的引脚位置; 2)理解数字电路的原理,掌握操作步骤,能正确安装所选定的电路; 3)掌握测试仪表仪器检测原件的使用及调整; 4)会根据测试结果分析故障产生的原因; 5)会利用原理图纸,判断具体故障的原因; 6)必须得出实验的仿真结果; 7)根据自己所仿真的电路原理图画出实物装配图。 三、项目内容 1、原理图 2、工作原理介绍 电路由74LS08的三个与门电路和74LS32两个或门电路构成,当A、B、C中任意两人按下按钮后,工作电路向74ls08中任意一个与门电路输入端输入两个高电平,输出端Y输入进74ls32任意一个或门电路中;电路只要满足一个条件即输出端有电压输

出;所以发光二极管能点亮。 3、元件清单 4、芯片 ① 74LS08 实物图 引脚图 真值表 元件序号 主要参数 数 量 R1 1K Ω 3 R2 360Ω 1 U1 74LS08 1 U2 74LS32 1 按钮开关 3 发光二极管 1 A B Y 0 0 0 0 1 0 1 0 0 1 1 1

实物图引脚图 真值表 5、电路板安装 A B Y 000 011 101 111

四人抢答器课程设计报告

《数字电子技术基础》课程设计任务书 专业:电气工程及其自动化班级:13电气专升本 学号:130732002 姓名:陈云飞 指导教师:耿素军 二零一三年十一月二十六日

四路数电抢答器 一、课程设计(论文)要求及原始数据(资料): 1)设计制作一个容纳4组参赛队的数字式抢答器,每组设置一抢答按钮供抢答者使用。 2)设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。 3)设计定时电路,声、光报警或音乐片驱动电路。 4)设计控制逻辑电路,启动、复位电路。 5)设计计分电路,犯规电路。 6)安装自己设计的电路和仿真。 7)写出设计报告。 二、课程设计进度: 二、主要参考文献 【1】阎石《数字电子电路》. 北京:高等教育出版社. 2007 【2】康华光. 《电子技术基础》(数字部分). 北京:高等教育出版社. 2000 【3】何小艇《电子系统设计》浙江大学出版社,2000 【4】董诗白《数字电子技术》高等教育出版社,2001 【5】吕思忠《数字电路实验与课程设计》,2001

专业班级 13电气专升本学生陈云飞李安瑞王菲安浩然王克强 课程设计(论文) 工作截至日期 2013-12-10 课程设计评语 课程设计成绩;

目录 1课程设计目的 (1) 2设计指标 (1) 3 总体框题设计 (1) 4方案设计 (2) 5总电路图设计 (8) 6使用元件 (9) 7总结 (10) 8 参考文献 (11)

一、课程设计目的 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计指标 (1)设计制作一个容纳4组参赛队的数字式抢答器,每组设置一抢答按钮供抢答者使用。 (2)设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。 (3)设计定时电路,声、光报警或音乐片驱动电路。 (4)设计控制逻辑电路,启动、复位电路。 (5)设计计分电路,犯规电路。 (6)安装自己设计的电路和仿真。 (7)写出设计报告。 三、总体框图设计 当主持人宣布开始,定时电路开始秒脉冲电路的作用而进行倒记时,并通过译码器在显示器中显示。报警电路给出声音提示。当选手首先按某一开关键时,可通过触发锁存电路被触发并锁存,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。然后在译码器中译码,将触发器输出的数据转换为数码管需要的逻辑状态。最后在显示电路中显示出所按键选手的号码。若有多个开关同时按下时,则在它们之间存在着随机竞争的问题,结果可能是它们中的任一个产生有效输出。如图1.

三人抢答器设计文档

安徽工程大学机电学院 课程设计说明书 } 课程设计名称:电子课程设计 课程设计题目:三人抢答器 指导教师:郭慧 专业班级:电气2124 班 学生姓名:武云 学号:3 起止日期:2014/6/23— 7/2、 总评成绩: 。

… 课程设计任务书 设计题目:三人抢答器 功能描述:设计一个三人抢答器电路,分为抢答成功和失败的情况; 课程设计要求: 1.抢答成功:在主持人宣布开始抢答的前提下,当有一个人在规定的时间内比其他两个人先按下抢答的按钮后,显示器上显示出抢答者的号码,倒计时停止。 2.抢答失败:在超过规定的时间内,若有人按了抢答的按钮,会发出警鸣声。若规定时间内无人抢答,倒计时结束后蜂鸣器发出警鸣声。 3.一次抢答完成后,主持人按下复位键(即清零键)之后,才可以开始第二次抢答。 。 )

指导教师(签名): 年月日 前言 — 抢答器是一种应用非常广泛的设备,在竞争日益激烈的当今社会评选优胜知识竞赛类的活动越来越多,针对主持人的各种提问,如果只是让抢答者用举手等原始的方法,在某种程度上难免会造成比赛的不公平性。为了在比赛中更加准确公平的判断出第一抢答者,抢答器就充当了抢答比赛中必备的工具。 在各种竞赛抢答场合中,抢答器可以迅速、充分客观地分辨出最先获得发言权的选手。早期的抢答器只由几个三极管、可控硅发光管组成,现在大多抢答器使用单片机或集成电路,并且增加了许多功能,可以显示选手号码、倒计时、报警等功能。 随着科技的发展,抢答器正向着数字化、智能化的方向发展,可是更加复杂化的设计大大提高了生产成本。市面上的抢答器有的电路复杂不便于制作,可靠性不高,不易实现。有的使用专用集成块制作,制作成本高昂。而数字逻辑电路则很好地解决了这些问题。

四人表决器电路设计资料

{ 名称:综合训练项目一题目:四人表决器电路设计 ? 专业: 班级: 姓名: 学号: ` 辽宁工程技术大学 《数字电子技术》 * 综合训练项目一成绩评定表

《综合训练项目一》任务书 一、综合训练题目 四人表决器电路设计 二、目的和要求 1、目的:会运用不同类型门电路或中、小规模集成电路,设计简单组合电路,学习仿真软件应用,学习word文档制作。 2、要求:设计一个四人表决器,按少数服从多数规则,三人或三人以上同意,则通过。利用绿、红两种颜色灯代表是否通过,并用数码管显示同意人数;用门电路或中规模集成电路译码器、数选器、加法器等完成控制任务;有研究方案比较,能够应用相关仿真软件绘制逻辑图,用仿真软件验证电路功能。 成果形式:每小组提交综合训练报告一份;现场或视频答辩;有能力的同学制作实物。 上交时间:在讲授完第四章中的组合电路设计知识点后的一周之内提交。 三、训练计划 项目综合训练课下1周,课上1节。 第1天:针对选题查资料,确定整体设计方案; 第2~3天:学习Multisim仿真软件,熟悉Visio绘图软件。 第4~5天:论证电路设计,利用仿真软件仿真设计电路,观察能否达到设计要求;; 第6~7天:按格式要求编写整理设计报告。 四、设计要求 1. 每名同学按照自己分配的任务要求完成训练。 2. 绘图统一采用Visio2010。 指导教师: 日期:2017 年月 日

四人表决器在我们生活中应用非常广泛,比如表决等。掌握四人表决器的工作原理,对我们理解和掌握表决器具有重要意义。 本次的课程设计就是利用数字电子技术的知识做一个四人表决器。在mulitisim软件中,利用集成电路,通过四片74LS183和一片74LS48芯片连接到LED数码管上,一个显示赞成人数;再通过与门和非门,实现通过与否的判决。经过仿真,符合四人表决的功能。 关键词:四人表决器;74LS183;74LS84;LED数码管

三路抢答器PLC课程设计任务书

PLC课程设计 说明书 可倒计时按钮式三路抢答器 学生姓名: 学号:14070 学院:计算机与控制工程学院 专业:电气工程及其自动化 指导教师: 2016年 12 月

目录 1 绪论。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。1 1.1.1 系统基本要求。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。1 1.1. 2 附带功能。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。1 1.2 课题主要过程。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。1 2 系统设计内容及要求。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。2 2.1 PLC基本原理及采用。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。2 2.2 系统控制要求。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。2 2.3.1系统工作原理及运行过程。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。2 2.3.2 附带功能工作原理及运行过程。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。2 3 整体设计及仿真过程。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。3 3.1 变量及程序说明。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。3 3.1.1输入输出量说明。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。 4 3.1.2六段数码管说明。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。4 3.2按键拓展补充程序。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。4 3.3 外部接线图。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。4 3.4 梯形图。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。 5 3.4.1倒计时计时部分。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。5 3.4.2 基本要求抢答部分。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。5 3.5编译仿真过程。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。7 3.6实验动画演示。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。10 3.6.1抢答器主界面。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。10 3.6.2外界按钮拓展接线图。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。10 3.6.3实验按钮拓展时演示。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。11 3.6.4通知抢答区演示(即倒计时)。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。12 3.6.5抢答及复位演示。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。13 4 课程设计总结感想。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。13 附录A(梯形图)。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。14 附录B(四路及以上拓展思路)。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。16 参考文献。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。。16

四人抢答器plc课程设计

课程设计说明书 题目名称:四组抢答器plc课程设计 系部:机械工程系 专业班级:机械化13-1班 学生:

学号:2013233 指导教师:全瑞琴 完成日期:2017年1月8号 工程学院 课程设计评定意见 设计题目四组抢答器plc课程设计 系部机械工程系专业班级机械化13-1班学生学生学号2013233 评定意见:

评定成绩: 指导教师(签名):年月日 (此页背书) 评定意见参考提纲: 1、学生完成的工作量与容是否符合任务书的要求。 2、学生的勤勉态度。 3、设计或说明书的优缺点,包括:学生对理论知识的掌握程度、实践工作能力、表现出的创造性和综合应用能力等。

工程学院 机械工程系系(部)课程设计任务书2015-2016 学年第一学期2016 年 1 月10日

教研室主任(签名)系(部)主任(签名) 摘要 随着微处理器、计算机和数字通讯技术的飞速发展,计算机控制已扩展到了所有控制领域。而实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC本身的优势使竞赛真正达到公正、公平、公开。设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先选择这个题目之后我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路然后仔细分析PLC控制的四路智力抢答器的工作原理以及它的一些工作过程分析后得出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要9个,输入端口需要6个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点因此我决定

三人表决器设计

西安电子科技大学 《数字电子技术》课程设计 题目三人表决器设计 学生姓名 专业班级通信工程 学号2011 院(系)信息工程学院 指导教师邓国辉 完成时间 2013年11月29日 手机号码

目录 1课程设计的目的 (1) 2课程设计的任务与要求 (1) 3设计方案与论证 (2) 3.2 表决方案的选择 (2) 3.3显示方案的选择 (3) 4设计原理及功能说明 (3) 4.1 三人表决器的原理框图 (3) 4.2 三人表决器基本原理图 (3) 5单元电路的设计 (4) 5.1 触发电路设计 (4) 5.2 表决电路设计 (5) 5.3 计数部分电路设计 (6) 6硬件的制作与调试 (7) 6.1 硬件的制作 (7) 6.2 硬件的调试 (8) 7总结 (8) 参考文献 (10)

附录1:总体电路原理图 (11) 附录2:元器件清单 (12)

1课程设计的目的 1、记住逻辑代数的基本定律和常用公式; 2、会用公式法和卡诺图法化简逻辑函数; 3、会识别、选购常用电路元、器件,掌握常用电路元器件的检测方法; 4、掌握逻辑门电路的逻辑功能与主要参数的测试和使用方法; 5、能合理利用门电路设计表决器; 6、能熟练掌握电路原理,及时调试和排除故障。 2课程设计的任务与要求 1、熟悉各集成逻辑元件的性能和设置元件的参数。 2、对电路图的原理进行分析,并对原理图进行改良,用仿真软件进行仿真调试,弄清楚电路的工作原理。 3、元件安装符合工艺要求,既考虑其性能又应美观整齐。焊接元件要注意焊点的圆润。 4、对元件的性能进行评估和替换、用性能和使用范围更好,更常用的元件进行替换,使自己实际的元件更接近实际使用。 5、学习数字逻辑电路的设计方法。 6、熟知74ls74、74ls08、74HC4075、74ls373各引脚的功能及内部结构。 7、学会使用各集成芯片组成逻辑电路。 8、学会真值表与逻辑表达式及的转换,能根据化简后的逻辑表达式画出逻辑电路。 9、完成“三人表决器”的逻辑设计,及组合电路的设计。

三人抢答器设计文档

安徽工程大学机电学院课程设计说明书 课程设计名称:电子课程设计 课程设计题目:三人抢答器 指导教师:郭慧 专业班级:电气2124 班 学生姓名:武云 学号:312107040432 起止日期:2014/6/23— 7/2 总评成绩:

课程设计任务书 设计题目:三人抢答器 功能描述:设计一个三人抢答器电路,分为抢答成功和失败的情况; 课程设计要求: 1.抢答成功:在主持人宣布开始抢答的前提下,当有一个人在规定的时间内比其他两个人先按下抢答的按钮后,显示器上显示出抢答者的号码,倒计时停止。 2.抢答失败:在超过规定的时间内,若有人按了抢答的按钮,会发出警鸣声。若规定时间内无人抢答,倒计时结束后蜂鸣器发出警鸣声。 3.一次抢答完成后,主持人按下复位键(即清零键)之后,才可以开始第二次抢答。 指导教师(签名): 年月日

前言 抢答器是一种应用非常广泛的设备,在竞争日益激烈的当今社会评选优胜知识竞赛类的活动越来越多,针对主持人的各种提问,如果只是让抢答者用举手等原始的方法,在某种程度上难免会造成比赛的不公平性。为了在比赛中更加准确公平的判断出第一抢答者,抢答器就充当了抢答比赛中必备的工具。 在各种竞赛抢答场合中,抢答器可以迅速、充分客观地分辨出最先获得发言权的选手。早期的抢答器只由几个三极管、可控硅发光管组成,现在大多抢答器使用单片机或集成电路,并且增加了许多功能,可以显示选手号码、倒计时、报警等功能。 随着科技的发展,抢答器正向着数字化、智能化的方向发展,可是更加复杂化的设计大大提高了生产成本。市面上的抢答器有的电路复杂不便于制作,可靠性不高,不易实现。有的使用专用集成块制作,制作成本高昂。而数字逻辑电路则很好地解决了这些问题。

8路数字抢答器 课程设计报告

学号: 课程设计 题目八路数字抢答器 学院信息工程学院 专业通信工程 班级通信0803 姓名David 指导教师付琴 2010年 7月 9日

课程设计任务书 学生姓名: David 专业班级:通信0803 指导教师:付琴工作单位:信息工程学院 题目:八路数字抢答器 起始条件:要求对数字电路里555电路的运用有所了解,同时熟悉计数电路和译码电路的运用,还要能够运用Multisim软件进行电路的仿真。 要求完成的主要任务: 1.运用数字电路设计一个能够满足特定要求的八路抢答器 2.绘制电路原理图并进行仿真,要求在报告中画出正确的波形。3.按照所画的原理图,在仿真的基础上焊接实物并进行调试。 时间安排: 编号 任务时间 1 查资料、原理图设计3天 2 仿真电路并修改 3天 3 实物焊接与调试5天 4 报告撰写 2天 5 答辩1天 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 课程设计任务书 (2) 摘要 (4) Abstract (5) 一、实验目的 (6) 二、设计要求与内容 (6) 三、设计及原理 (7) 3.1 总体方案设计 (7) 3.1.1 设计思路 (7) 3.1.2 总电路框图 (7) 3.2 各模块设计方案及原理说明 (8) 3.2.1 抢答电路 (8) 3.2.2 倒计时电路 (12) 四、电路仿真 (13) 4.1 抢答电路 (13) 4.2 倒计时电路 (15) 五、实验结果及分析 (17) 六、收获、体会和建议 (19) 附录 (21) 1.总电路图 (21) 2. 元件引脚图 (22) 3.元器件清单 (24) 主要参考文献 (25)

数电课设抢答器

数字电路逻辑设计课程设计报告 系别:物理系 专业:电子信息工程指导教师:薛艳辉 班级:1402 姓名:李海燕 吕梁学院 2016.6

课程设计任务书 院(系):物理系专业:电子信息工程 学号20140506213 学生姓名李海燕班级1402 课程设计 (论文) 题目 竞赛抢答器设计 课程设计(论文)任务设计目标: 设计并仿真实现一个竞赛抢答器 基本要求: 1、抢答器可供四组使用,抢答指示用发光二极管 2、记分部分独立,并且具有预置、递增、递减功能 指 导 教 师 评 语 及 成 绩 成绩:指导教师签字: 年月日

摘要 随着我国经济和文化事业的发展,在很多竞争场合要求有快速公正的竞争裁决,例如证券、股票交易以及各种智力竞赛等。在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。数字抢答器有主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛组的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。抢答器具有数据锁存和显示功能。抢答开始后,若有选手安东强大按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 【关键词】智力抢答,数字抢答器,锁存器

相关文档
相关文档 最新文档