文档库 最新最全的文档下载
当前位置:文档库 › 基于数字电路的交通灯控制器的设计与仿真_宋朝君

基于数字电路的交通灯控制器的设计与仿真_宋朝君

基于数字电路的交通灯控制器的设计与仿真_宋朝君
基于数字电路的交通灯控制器的设计与仿真_宋朝君

96 ?电子技术与软件工程 Electronic Technology & Software Engineering

软件应用

? Software Application

快速设置框:设置每排1-4张图片,每页1-3排

自定义框:可以根据需要定义图片大小,单位是厘米。

(3).word 保存:有三个选择。

1.使用图片所在文件夹的文件名来保存word

2.使用图片所在文件夹的文件名,然后在提取其中的中文部分来保存word ,比如说:图片所在文件夹的文件名为:1-2房子,那么提取中文保存为:房子

3.自定义word :可以根据需要自己命名。(4).使用说明:主要提示使用者如何去操作。

右边部分分为2块:

有这样的软件,在处理大量的文件资料时,不用一张张的把照片贴入word ,如果手动贴的话,1000个文件夹,每个文件夹10张照片,你至少要半天,甚至1天时间,但是用软件20分钟就能搞定了。因此在工作中学会自己制作些小软件也是必不可少的,毕竟网上适应你工作需要的软件相对很少很少。

5 总结

地籍测量内业工作繁重,通过本文,学习点常用软件及技巧,能大大的提高工作效率,同时也说明了工作的技巧性,在工作中学习,能更好的提高自己的工作能力,展现自己的个人魅力!

08-01.

[4]

孟学多,钟晴江. VB 程序设计基础与VBA 应用.杭州: 浙江科学技术出版社,2011-02-01.

作者简介

方锦伟,男,1983年出生,江苏省扬州市人,助教,南京师范大学毕业。研究方向:物理。郭仙君,男,1978年出生,江苏省南通市人,

讲师,南京河海大学毕业。研究方向:工程管理。

作者单位

扬州江海职业技术学院 江苏省扬州市 225127

基于数字电路的交通灯控制器的设计与仿真

文/宋朝君

网络出版时间:2013-11-27 11:51

网络出版地址:https://www.wendangku.net/doc/8b17765183.html,/kcms/detail/10.1108.TP.20131127.1151.201320.96_146.html

Software Application ?

软件应用

Electronic Technology & Software Engineering 电子技术与软件工程? 97

黄灯亮规定的时间间隔5秒时,控制器发出状态转换信号,转到下一工作状态; 主干道车道红灯亮,支干道车道绿灯亮,用S2表示,绿灯亮足规定的时间间隔20秒时,控制器发出状态转换信号,转到下一工作状态;主干道车道红灯闪烁,支干道车道黄灯亮,用S3表示,黄灯亮足规定的时间间隔5秒是,控制器发出状态转换信号,系统又转换到最初种状态。可以用一个2位二进制计数器实现这四种状态:S0=00,S1=01,S2=10,S3=11,本设计用

状态,状态译码器要求利用Q2,Q1分别控制主、支干道上红、绿、黄信号灯的状态,红、绿、黄信号灯状态与控制器的输出Q2,Q1关心可用表1(1不是灯亮,0表示灯灭)来表示。由信号真值表可以设计出状态译码器电路,如图2所示:

74LS245为8个双向3态缓冲电路。主要使用在数据的双向缓冲,~G 0,DIR 0,B->A ;~G=0, DIR=1, A->B ;~G=1, DIR 为0或者1,输入和输出均为高阻态;高阻态的图3倒计时电路

2.4 仿真结果

将上述各单元电路组合起来,可以得到交通控制灯的整体电路,点击Multisim 10.1 软件的“Simulate/ Run ”按钮,便可以进行交通灯控制器的仿真。电路的倒计时显示首先为30 s,此时主干道绿灯亮,支干道红灯亮,进入状态S0,倒计时为0后,主干道黄灯亮,支干道红灯闪烁,闪烁的频率为1HZ ,进入状态S1,倒计时从5开始计时,倒计时为0后,主干道红灯亮,支干道绿灯亮,进入状态S2,倒计0后,主干道红1HZ ,支干道黄灯亮,5开始计时,倒计时状态,如此循环下去。

,既可以降低成本,又大.基于Multisim 的电子电

微电子技术,2006(24).

.EWB 虚拟电子实验室——

电子电路设计与: 电子工业出版社,2006.

EDA 设计方法研

,2009(17)..Multisim 2001 电路设计

及仿真入门与应用[M].北京:电子工业出版社,2002.

作者单位

云南广播电视大学 机械电子工程学院 云南省昆明市 650223

VCC

图2状态译码器电路

交通灯控制器的设计

交通灯控制器的设计 LG GROUP system office room 【LGA16H-LGYY-LGUA8Q8-LGA162】

电子设计自动化实训说明书 题目:交通灯控制器的设计 系部:信息与控制工程学院 专业:电子信息工程 班级: 06级1班 学生姓名: 朱清美学号: 015 指导教师:张建军 2009年12月21日 目录 1摘要............................................................... 2设计任务与要求..................................................... 3设计原理及框图..................................................... 4单元电路设计及仿真调试............................................. 状态控制器的设计................................................ 状态译码器设计及仿真调试........................................ 定时系统设计及仿真调试.......................................... 秒脉冲发生器设计................................................ 5个人总结 (14) 6参考文献........................................................... 1摘要: 分析了现代城市交通控制与管理问题的现状,结合城乡交通的实际情况阐述了交通灯控制系统的工作原理,给出了一种简单实用的城市交通灯控制系统的硬件电路设计方案。关键词:交通控制交通灯时间发生器定时器1 引言随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。随着城市机动车量的不断增加,许多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速道路,在高速道

微机原理课程设计——交通灯控制系统

南通大学电子信息学院 微机原理课程设计 报告书 课题名交通灯控制系统 班级 _______ 学号 __________ 姓名 ____ 指导教师 ______ 日期 _________

目录 1 设计目的 (1) 2 设计内容 (1) 3 设计要求 (1) 4 设计原理与硬件电路 (2) 5 程序流程图 (4) 6 程序代码 (4) 7 程序及硬件系统调试情况 (8) 8 设计总结与体会 (9) 9 参考文献 (9)

1 设计目的 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。交通灯能保证行人过马路的安全,控制交通状况等优点受到人们的欢迎,在很多场合得到了广泛的应用。 交通灯是采用计算机通过编写汇编语言程序控制的。红灯停,绿灯行的交通规则。广泛用于十字路口,车站, 码头等公共场所,成为人们出行生活中不可少的必需品,由于计算机技术的成熟与广泛应用,使得交通灯的功能多样化,远远超过老式交通灯, 交通灯的数字化给人们生产生活带来了极大的方便,而且大大地扩展了交通灯的功能。诸如闪烁警示、鸣笛警示,时间程序自动控制、倒计时显示,所有这些,都是以计算机为基础的。还可以根据主、次干道的交通状况的不同任意设置各自的不同的通行时间。或者给红绿色盲声音警示的人性化设计。现在的交通灯系统很多都增加了智能控制环节,比如对闯红灯的车辆进行拍照。当某方向红灯亮时,此时相应的传感器开始工作,当有车辆通过时,照相机就把车辆拍下。 要将交通灯系统产品化,应该根据客户不同的需求进行不同的设计,应该在程序中增加一些可以人为改变的参数,以便客户根据不同的需要随时调节交通灯。因此,研究交通灯及扩大其应用,有着非常现实的意义。 2 设计内容 交通灯控制系统 利用8253定时器、8255等接口,设计一电路,模拟十字路口交通灯控制。要求能实现自动控制和手动应急控制。 3 设计要求 在Proteus环境下,结合课程设计题目,设计硬件原理图,搭建硬件电路 软件设计

数字电子技术课程设计之交通灯控制系统

数字电子技术课程设计之交通灯控制系统 专业班级:物联网112 指导教师:陈际 组成员:王海超、殷修修、张天一

一、内容摘要 二、设计内容与要求 三、方案分析 四、原理图设计 4、1信号灯控制器电路 4、2信号灯译码器电路 4、3计时器系统 4、4显示译码器 4、5 LED七段数码管 4、6 555振荡器组成的秒脉冲电路 五、整体电路图以及工作原理 六、参考文献 一、内容摘要 电路通过两个D触发器组成的四进制级数器和由与非门组成的译码器来控制主干道和支干道红、绿、黄灯的状态变化,从而达到疏

通车辆安全顺利通过十字路口,有555计时和电容电阻组成的秒脉冲发生器,计时器由两个74LS190计数器构成,分别用于计时的十位和个位,显示译码器把74LS190输出的BCD码译成七位二进制代码通过七段数码管显示出相应的十进制数。 二、设计内容与要求 为了确保在十字路口车辆安全顺利的通过,在交叉路口设置红、绿、黄三种信号灯,红灯亮时禁止通行,绿灯亮时允许通行,黄灯亮时给行驶中的车辆有时间停靠在禁行线外。 任务和要求: 1、在主干道和支干道之间交替放行,主干道每次放行50秒,支干道每次放行40秒。 2、每次绿灯亮变红时,黄灯先亮4秒,而原红灯不变。 3、用十进制数显示放行与等待时间。 三、方案分析 方案一、用数电电子技术来实现交通灯的控制 1、交通灯控制系统原理框图如图1-1所示 主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成,秒脉冲发生器是系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路驱动信号灯工作,控制器是系统的主要成分,由它控制定时器和译码器工作。

数字电路课程设计交通灯

数字逻辑电路设计 课程设计报告 系(部):三系 专业:通信工程 班级: 2011级<1>班 姓名:陈 学号: 201103061 成绩: 指导老师:李海霞 开课时间: 2012-2013 学年二学期

一、设计题目 交通信号灯控制器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、具体要求 (一)、交通灯信号控制器仿真设计 设计要求 (1)设计一个十字路口的交通灯控制电路,要求东西方向和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为35s。时间可 设置修改。 (2)在绿灯转为红灯时,要求黄灯先亮5s,才能变换运行车道。 (3)黄灯亮时,要求每秒闪亮一次。 (4)东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示。 (5)假定+5V电源给定。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写

五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成: 1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。迟到20分钟按旷课处理。

数字系统课程设计-交通灯控制器实验报告

交通灯控制器 ——数字系统设计报告 姓名: 学号:

一.实验目的 1.基本掌握自顶向下的电子系统设计方法 2.学会使用PLD和硬件描述语言设计数字电路,掌握 Quartus II等开发工具的使用方法 3.培养学生自主学习、正确分析和解决问题的能力 二.设计要求 我所选择的课题是用Verilog HDL实现交通灯控制器。该课题的具体内容及要求如下: 主干道与乡村公路十字交叉路口在现代化的农村星罗棋布,为确保车辆安全、迅速地通过,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯禁止通行;绿灯允许通行;黄灯亮则给行驶中的车辆有时间行驶到禁行线之外。主干道和乡村公路都安装了传感器,检测车辆通行情况,用于主干道的优先权控制。 (1)当乡村公路无车时,始终保持乡村公路红灯亮,主干道绿灯亮。 (2)当乡村公路有车时,而主干道通车时间已经超过它的最短通车时间时,禁止主干道通行,让乡村公路通行。主干道最短通车时间为25s 。 (3)当乡村公路和主干道都有车时,按主干道通车25s,乡村公路通车16s交替进行。 (4)不论主干道情况如何,乡村公路通车最长时间为16s。 (5)在每次由绿灯亮变成红灯亮的转换过程中间,要亮5s时

间的黄灯作为过渡。 (6)用开关代替传感器作为检测车辆是否到来的信号。用红、绿、黄三种颜色的发光二极管作交通灯。 (7)要求显示时间,倒计时。 (C表示乡村道路是否有车到来,1表示有,0表示无;SET用来控制系统的开始及停止;RST是复位信号,高电平有效,当RST=1时,恢复到初始设置;CLK是外加时钟信号;MR、MY、MG分别表示主干道的红灯、黄灯和绿灯;CR、CY、CG分别表示乡村道路的红灯、黄灯和绿灯,1表示亮,0表示灭) 系统流程图如下:(MGCR:主干道绿灯,乡村道路红灯;MYCR:主干道黄灯,乡村道路红灯;MRCG:主干道红灯,乡村道路绿灯;MRCY:主干道红灯,乡村道路黄灯;T0=1表示主干道最短通车时间到,T1=1表示5秒黄灯时间到,T2=1表示乡村道路最长通车时间到。)

交通灯控制逻辑电路设计实验报告

《数字设计》课程实验报告 实验名称:交通灯控制逻辑电路的设计与仿真实现 学员:学号: 培养类型:年级: 专业:所属学院: 指导教员:职称: 实验室:实验日期:

交通灯控制逻辑电路的设计与仿真实现 一、实验目的: 1. 熟悉Multisim仿真软件的主要功能和使用。 2. 熟悉各种常用的MSI时序逻辑电路的功能和使用。 3. 运用逻辑设计知识,学会设计简单实用的数字系统。 二、实验任务及要求: 1.设计一个甲干道和乙干道交叉十字路口的交通灯控制逻辑电路。每个干道各一组指示灯(红、绿、黄)。要求:当甲干道绿灯亮16秒时,乙干道的红灯亮;接着甲干道的黄灯亮5秒,乙干道红灯依然亮;紧接着乙干道的绿灯亮16秒,这时甲干道红灯亮;然后乙干道黄灯亮5秒,甲干道红灯依然亮;最后又是甲干道绿灯亮,乙干道变红灯,依照以上顺序循环,甲乙干道的绿红黄交通指示灯分别亮着。 2.要求: (1)分析交通灯状态变换,画出基于格雷码顺序的交通灯控制状态图。 (2)设计时序逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (3)设计组合逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (4)用74LS161计数器构造16秒定时和5秒定时的定时电路,画出连线图。在Multisim仿真平台上,选用74LS161芯片连线,测试验证,将电路调试正确。 (5)在Multisim仿真平台上形成整个系统完整的电路,统调测试结果。三、设计思路与基本原理: 依据功能要求,交通灯控制系统应主要有定时电路、时序逻辑电路及信号灯转换器组合逻辑电路组成,系统的结构框图如图1所示。其中定时电路控制时序逻辑电路状态的该表时间,时序逻辑电路根据定时电路的驱动信号而改变状态,进而通过组合逻辑电路控制交通灯系统正常运行。 在各单元电路的设计顺序上,最先设计基础格雷码顺序的交通灯控制状态图,由此确定时序逻辑电路的设计,并完成该部分电路的调试。接着在设计好时序路逻辑电路的基础上,根据状态输出设计组合逻辑电路,并完成该部分的调试。最后完成定时电路的设计与调试。整合电路,形成整个系统完整的电路,统调测试结果。

基于51单片机的十字路口交通灯控制系统设计(含源码及仿真图)

课程设计任务书 专业计算机科学与技术 班级09计(嵌入式系统方向)姓名江海洋 学号0905101072 指导教师刘钰 金陵科技学院教务处制

摘要 本文介绍了一个基于MCS-51及PROTEUS的十字路口交通灯控制系统的设计与仿真,通过对现实路况交通灯的分析研究,理解交通控制系统的实现方法。 十字路口交通灯控制系统通常要实现自动控制和在紧急情况下禁止普通车辆,而让紧急车辆优先通行。本文还对MCS-51单片机的结构特点和重要引脚功能进行了介绍,同时对智能交通灯控制系统的设计进行了详细的分析。最后介绍了PROTEUS嵌入式系统仿真与开发平台的使用方法,利用Proteus 软件对交通灯控制系统进行了仿真,仿真结果表明系统工作性能良好。 关键字:单片机,proteus仿真,中断,十字路口交通灯控制系统

前言 1,十字路口的交通控制系统指挥着人和各种车辆的安全运行,对交叉口实行科学的管理与控制是交通控制工程的重要研究课题,是保障交叉口的交通安全和充分发挥交叉口的通行能力的重要措施,是解决城市交通问题的有效途径。交通灯信号灯的出现是人类历史上的一次重大改革,使人类的聚居生活,产生了深远的影响。使交通得以有效管制,对于疏导交通流量,提高道路通行能力,减少交通事故有明显效果。如何采用合适的控制方法,最大限度利用好耗费巨资修建的城市高速道路,缓解主干道与匝道、城区同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。随着电子技术的发展,利用单片机技术对交通灯进行智能化管理,已成为目前广泛采用的方法。 2,此十字路口交通灯控制系统,分东西道和南北道,设东西道为A道,南北道为B 道。规定:A道放行时间为2分钟,B道放行1.5分钟;绿灯放行,红灯停止;绿灯转红灯时,黄灯亮2秒钟;若有紧急车辆要求通过时,此系统应能禁止普通车辆,而让紧急车辆通过。 3,应用单片机实现对交通灯的控制,在十字路口用红,黄,绿的指示灯,加上四个以倒计时显示的数码管来控制交通。考虑到紧急车辆,设计紧急车辆开关。

数字电路课程设计交通灯控制器

数字电路课程设计交通灯控制器

数字电路课程设计报告书 题目:交通灯控制器 一实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件 的原理及其应用。 2.深入了解交通灯的工作原理。 3.锻炼自己的动手能力和实际解决问题的能力。 二实验要求 1)在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯,黄灯,红灯,另一方面是红灯,绿灯,黄灯。2)设置一组数码管,以计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间为20秒,另一个方向上绿灯亮的时间是30秒,黄灯亮的时间都是5秒。 3)当任何一个方向出现特殊情况,按下手动开关,其中一个方向常通行,倒计时停止,当特殊情况结束后,按下自动控制开关,恢复正常状态。 4)选作:用两组数码管实现双向到计时显示。 三使用元件

四总体方案的设计 1.分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图所示。它主要由控制器、定时器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,控制器是系统的主要部分,由它控制定时器,数码管和二极管的工作。

2.分析系统的状态变化,列出状态转换表:(1)主干道绿灯亮,支干道红灯亮。表示主干道上的车辆允许通行, 支干道禁止通行。(2)主干道黄灯亮,支干道红灯亮。表示主干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,支干道禁止通行。 (3)主干道红灯亮,支干道绿灯亮。表示主干道禁止通行,支干道上的车辆允许通行。(4)主干道红灯亮,支干道黄灯亮。表示主干道禁止通行,支干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行。 交通灯以上4种工作状态的转换是由控制器器进行控制的。设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示,则控制器的工作状态及功能如下表所示: 五单元电路的设计 1)秒脉冲产生电路 经过555芯片按一定的线路接上不同的电阻和电容就可产生周期不

数电课程设计交通灯

数字电路课程设计报告书 系部名称:电子工程学院 学生姓名: 专业名称:微电子 班级: 实习时间: 题目:交通灯控制器

一实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件的原理及其应用,锻炼自己的动手能力和实际解决问题的能力。 2.深入了解交通灯的工作原理。 二实验要求 1)在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯,黄灯,红灯,另一方面是红灯,绿灯,黄灯。 2)设置一组数码管,以计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间为20秒,另一个方向上绿灯亮的时间是30秒,黄灯亮的时间都是5秒。 3)当任何一个方向出现特殊情况,按下手动开关,其中一个方向常通行,倒计时停止,当特殊情况结束后,按下自动控制开关,恢复正常状态。 三使用元件 器件型号数量器件型号数量 161 2 LED 6 08 2 电阻4.7K 1 04 3 电阻150K 1 00 1 电阻100欧姆 1 48 2 电容4.7uF 1 74 1 电容103 1 555 1 数码管 2 面包板 1 斜口钳 1 四总体方案的设计 1.分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图所示。它主要由控制器、定时器和秒

脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,控制器是系统的主要部分,由它控制定时器,数码管和二极管的工作。 2.分析系统的状态变化,列出状态转换表: (1)主干道绿灯亮,支干道红灯亮。表示主干道上的车辆允许通行, 支干道禁止通行。 (2)主干道黄灯亮,支干道红灯亮。表示主干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,支干道禁止通行。 (3)主干道红灯亮,支干道绿灯亮。表示主干道禁止通行,支干道上的车辆允许通行。 主控部分 秒脉冲发生 器 交通灯 倒计时控制部分 数码管显示 反馈 控制

基于FPGA下的交通灯控制器设计

引言 随着城乡的经济发展,车辆的数量在迅速的增加,交通阻塞的问题已经严重影响了人们的出行。 现在的社会是一个数字化程度相当高的社会,很多的系统设计师都愿意把自己的设计设计成集成电路芯片,芯片可以在实际中方便使用。随着EDA技术的发展,嵌入式通用及标准FPGA器件的呼之欲出,片上系统(SOC)已经近在咫尺。FPGA/CPLD 以其不可替代的地位及伴随而来的极具知识经济特征的IP芯片产业的崛起,正越来越受到业内人士的密切关注。FPGA就是在这样的背景下诞生的,它在数字电路中的地位也越来越高,这样迅速的发展源于它的众多特点。交通等是保障交通道路畅通和安全的重要工具,而控制器是交通灯控制的主要部分,它可以通过很多种方式来实现。在这许许多多的方法之中,使用FPGA和VHDL语言设计的交通灯控制器,比起其他的方法显得更加灵活、易于改动,并且它的设计周期性更加短。 城市中的交通事故频繁发生,威胁着人们的生命健康和工作生活,交通阻塞问题在延迟出行时间的同时,还会造成更多的空气污染和噪声污染。在这种情况下,根据每个道路的实际情况来设置交通灯,使道路更加通畅,这对构建和谐畅通的城市交通有着十分重要的意义。

第一章软件介绍 1.1 QuartusⅡ介绍 本次毕业设计是基于FPGA下的设计,FPGA是现场可编程门阵列,FPGA开发工具种类很多、智能化高、功能非常的强大。可编程QuartusⅡ是一个为逻辑器件编程提供编程环境的软件,它能够支持VHDL、Verilog HDL语言的设计。在该软件环境下,设计者可以实现程序的编写、编译、仿真、图形设计、图形的仿真等许许多多的功能。在做交通灯控制器设计时选择的编程语言是VHDL语言。 在这里简单的介绍一下QuartusⅡ的基本部分。图1-1-1是一幅启动界面的图片。在设计前需要对软件进行初步的了解,在图中已经明显的标出了每一部分的名称。 图 1-1-1 启动界面 开始设计前我们需要新建一个工程,首先要在启动界面上的菜单栏中找到File,单击它选择它下拉菜单中的“New Project Wizard”时会出现图1-1-2所显示的对话框,把项目名称按照需要填好后单击Next,便会进入图 1-1-3 显示的界面。

EDA交通灯控制器课程设计报告

交通灯控制器课程设计 该交通信号控制器控制十字路甲、乙两条道路的红、黄和绿三色灯,指挥车辆和行人 安全通行。 功能要求如下: 1.只有在小路上发现汽车时,高速公路上的交通灯才可能变成红灯。 2.当汽车行驶在小路上时,小路的交通灯保持为绿灯,但不能超过给定的时间。(20s) 3.高速公路灯转为绿色后,即使小路上有汽车出现,而高速公路上并无汽车,也将在给定的时间内 保持绿灯。(60s)。 设计如下: ——1hz分频器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity fen_pin1 is port(clk100hz:in std_logic; clk1hz:out std_logic);

end fen_pin1; architecture bhv of fen_pin1 is signal qan:std_logic_vector(3 downto 0); signal qbn:std_logic_vector(3 downto 0); signal cin:std_logic; begin process(clk100hz) begin if(clk100hz'event and clk100hz='1')then if qan="1001"then qan<="0000";cin<='1'; else qan<=qan+1;cin<='0'; end if; end if; end process; process(clk100hz,cin) begin if(clk100hz'event and clk100hz='1')then if cin='1' then if qbn="1001" then qbn<="0000"; else qbn<=qbn+1; end if ; end if ; end if ; end process; process(qan,qbn) begin if (qan="1001"and qbn="1001")then clk1hz<='1'; else clk1hz<='0'; end if; end process; end bhv; ——2hz分频器 library ieee; use ieee.std_logic_1164.all; entity fen_pin2 is port (clk100hz:in std_logic; clk2hz:out std_logic); end fen_pin2; architecture bhv of fen_pin2 is begin process(clk100hz) variable cnt:integer range 0 to 24; variable tmp:std_logic; begin

数字电路交通灯设计说明

WuYi University 数电课程设计 题目:两路交通灯设计 院系:电子工程系 专业:电子信息工程 班级:电信(2)班 学号: 20094082041 学生:周磊 指导教师:有机

2011年6月 两路交通灯设计 摘要 本文主要对此次数电开放实验进行总结性报告,罗列了电路的电路原理与流程图、硬件结构设计、焊接与调试。对于出现的问题进行解释说明其一系列后续工作的介绍。 Abstract In this paper, the number of power open to this experiment summary report, a list of the circuit schematic and flow diagram, hardware design, welding and debugging. For the problems to explain a series of follow-up work on its introduction. 1.绪论 交通信号灯与我们的生活紧密相连,设计交通灯不仅具有实用性,还加深了本人对数字电路理论知识的理解。通过这次动手实验,死板的课本知识就融入到动手能力中去了。 《数字电路》是一门发展迅速,实践性很强的电子技术专业基础课程。由于数字电子技术具有很强的灵活性,我们的日常生活已经越来越离不开它了。用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。现代的数字电路由半导体工艺制成的若干数字集成器件构造而成。逻辑门是数字逻辑电路的基本单元。存储器是用来存储二值数据的数字电路。从整体上看,数字电路可以分为组合逻辑电路和时序逻辑电路两大类。数字电路的发展与模拟电路一样经历了由电子管、半导体分立器件到集成电路等几个时代。但其发展比模拟电路发展的更快。从60年代开始,数字集成器件以双极型工艺制成了小规模逻辑器件。随后发展到中规模逻辑器件;70年代末,微处理器的出现,使数字集成电路的性能产生质的飞跃。 由于数字电路技术的逻辑性很强,用它来设计交通灯的控制系统很容易实现。只要数字集成块在一定围输入,都能得到确定的输出,调试起来也比较容,电路的工作状态会比较稳定。 这次的设计就是通过一些基本的数字芯片组合来实现对十字路口交通等的六个不同信号灯的控制,另外还加以倒计时数码管显示。以做到十字路口信号灯的仿真模型,这个电路的设计看似较为复杂,其实就是一些基本的数字电路组成。只要将整个电路的基本方向确定下来,画出电路流程图,在对各项功能进行设计,一步步突破,最后进行整理总结。

交通灯信号控制器仿真设计

交通灯信号控制器仿真设计 08机电2班 张丽云 0811116041 1.前言 城市十字交叉路口为确保车辆、行人安全有序地通过,都设有指挥信号灯。交通信号灯的出现,使交通得以有效地管制,对于疏导交通、减少交通事故有明显的效果。现有2条主干道汇合点形成十字交叉口,为确保车辆安全、迅速的通行,在交叉路口的每条道上设置一组交通灯,交通灯由红、黄、绿3色组成。红灯亮表示此通道禁止车辆通过路口;黄灯亮表示此通道未过停车线的车辆禁止通行,已过停车线的车辆继续通行;绿灯亮表示该通道车辆可以通行。要求设计一交通灯控制电路以控制十字路口两组交通灯的状态转换,指挥车 由表1可以得出信号灯状态的逻辑表达式: A G =n Q 1n Q 0 A Y =n Q 1n Q 0 A R =n Q 1

B G =n Q 1n Q 0 B Y =n Q 1n Q 0 B R =n Q 1 由特性方程: 10+n Q = n Q 1n Q 0+n Q 1n Q 0 11+n Q = n Q 1n Q 0+n Q 1n Q 0 1+n Q = J n Q +K n Q 可得 0J =n Q 1,0K =n Q 1;1J =n Q 0,1K =n Q 0 要实现45s 的倒计时,需选用两个74190芯片级联成一个从99到00的计数器,其中作为个位数的74190芯片的CLK 接秒脉冲发生器,再把个位数74190芯片输出端A Q 、D Q 用一个与门连起来,再接在十位数74190芯片的CLK 端。当个位数减到0时,再减1就会变成9,0(0000)和9(1001)之间的A Q 、D Q 同时由0变为1,把A Q 、D Q 与起来接在十

电子技术课程设计报告交通灯控制电路设计

电子技术课程设计报告——交通灯控制电路设计 上海大学机自学院自动化系

电气工程专业 : 学号: 指导老师:徐美华 目录 目录2 一、主要用途:3 二、设计任务及要求:3 三、设计思路步骤及仿真调试4 1.设计分析4 2.交通灯模块:5 3.脉冲信号模块5 4.减法计数器及数码显示管模块6

5.控制模块7 6.对所使用芯片进行介绍:8 7.交通灯工作图12 四、实习小结15 一、主要用途: 有效管制交通、疏导交通流量、提高道路通行能力、有利于减少交通事故。 二、设计任务及要求: 设计一个主干道和支干道十字路口的交通灯控制电路,其要求如下: 1.一般情况下,保持主干道畅通,主干道路灯亮、支干道红

灯亮,并且主干灯亮的时间不少于60 S; 2.当主干道绿灯亮超过60 S,且支干道有车时,主干道红灯 亮,支干道绿灯亮,但支干道绿灯亮的时间不得超过30S; 3.每次主干道或支干道绿灯亮变红灯时,黄灯先亮5S。 三、设计思路步骤及仿真调试 1.设计分析 计数器能进行60进制、30进制以及5进制的减数计数,在计数器与译码器及与非门的工作下实现交通灯信号灯的切换。有以下四个状态: a.主干道绿灯亮,支干道红灯亮,此时主干道允许车辆通行,支干道禁止车辆通行。当主干道绿灯亮够60秒后,控制器发出状态转换信号,系统进入下一个状态。 b.主干道黄灯亮,支干道红灯亮,此时主干道允许超过停车线的车辆继续通行,而未超过停车线的车辆禁止通行,支干道禁止车辆通行。当主干道黄灯亮够5秒后,控制器发出状态转换信号,系统进入下一个状态。 c.主干道红灯亮,支干道绿灯亮。此时主干道禁止车辆通行,支干道允许车辆通行,当支干道绿灯亮够30秒后,控制器发出状态转换信号,系统进入下一个状态。

交通灯控制器课程设计说明书

交通灯控制器课程设计说明书课程设计说明书 学生姓名:____________ 学号:________________ 学院:_______________________________________ 专业:_______________________________________ 题目:_____________ 交通灯控制器_____________ 指导教师:职称:

2010年1月15日 目录 1、实验任务 (3) 2、实验目的 (3) 3、设计方案 (3) 4、参考电路设计 (4) 5、实验仪器设备 (9) 6、实验心 得 (10)

一.实验任务 设计一个交通灯控制器,具体要求如下: 1、以红,黄,绿三种颜色的发光管作为交通灯。绿灯亮表示可以通行, 红灯 亮表示禁止通行.黄灯亮表示未通过的车辆禁止通行. 2、每次放行时间为30秒,红转绿或绿转红时,需黄灯亮5秒作为过度。 二.实验目的 1、掌握电子电路的一般设计方法和设计流程。 2、学习使用PROTEL软件绘制电路原理图和印刷版图。] 3、掌握应用EWB对设计的电路进行仿真,通过仿真结果验证设计的正确 性。 三.设计方案 交通灯控制器参考方案 图1 图1为交通灯控制器的一个参考设计方案。在这一方案中,系统主要由控制器.定时器?脉冲信号发生器.译码器?信号灯组成。 TL.TY为定时器的输出信号,ST为控制器的输出信号。 当车道绿灯亮时,定时器开始记时,当记时到30秒时,TL输出为1,否则,TL=0; 当车道黄灯亮后,定时器开始记时,当记时到5秒时,TY输出为1,否则,TY=0;

ST 为状态转换信号,当定时器数到规定的时间后,由控制器发出状态转 换信号,定时器开始下一个工作状态的定时计数。 控制状态为: 表1 ?状态转换 表 图2画出了控制器的状态转换图,图中TY 和TL 为控制器的输入信号, ST 为控制器的输出信号。 00 .01 . 11. 交通信 号灯 有四个状态, 用SO. 来表 SI. S2 ? S3 示,并且分别 分配 编码状态为

at89c51单片机_交通灯控制系统(含源码及仿真图).

模拟交通灯设计报告 题目交通信号灯控制系统 团队研发区第二组 完成时间2011-11-31 贵州民族学院开放实验室

` 目录 一、项目名称 (1) 二、选题背景 (1) 2.1 课题背景 (1) 2.2 交通灯的历史 (1) 三、单片机简介 (2) 3.1 单片机的发展历程 (2) 3.2 单片机的特点: (3) 3.3 AT89C52单片机简介 (4) 四、设计基本要求和步骤 (5) 4.1 基本要求 (5) 4.2 设计步骤 (6) 五、硬件和软件设计 (6) 5.1 硬件电路图 (6) 5.2 程序流程图 (8) 主程序 (8) 运行过程 (9) LED显示程序 (10) T0中断 (11) INT0中断 (11) 5.3 P0、P1口显示状态编码表 (12) 5.4 程序源代码 (12) 5.5 程序运行效果图 (21) 六、心得体会 (22) 七、参考文献.............................................................................. 错误!未定义书签。

模拟交通灯设计报告 一、项目名称 十字路口交通信号灯控制系统 二、选题背景 2.1 课题背景 由于我国经济的快速发展从而导致了汽车数量的猛增,大中型城市的城市交通,正面临着严峻的考验,从而导致交通问题日益严重,其主要表现如下:交通事故频发,对人类生命安全造成极大威胁;交通拥堵严重,导致出行时间增加,能源消耗加大;空气污染和噪声污染程度日益加深等。日常的交通堵塞成为人们司空见惯而又不得不忍受的问题,在这种背景下,结合我国城市道路交通的实际情况,开发出真正适合我们自身特点的智能信号灯控制系统已经成为当前的主要任务。随着电子技术的发展,利用单片机技术对交通灯进行智能化管理,已成为目前广泛采用的方法。 2.2 交通灯的历史 1868年12月10日,信号灯家族的第一个成员就在伦敦议会大厦的广场上诞生了,由当时英国机械师德·哈特设计、制造的灯柱高7米,身上挂着一盏红、绿两色的提灯--煤气交通信号灯,这是城市街

单片机课程设计_基于单片机的交通灯控制系统设计说明

目录 1.硬件设计方案............................................... - 3 -1.1总方案设计 (3) 1.2中央处理单元 (4) 1.3红、绿、黄灯显示部分 (4) 1.4时间显示部分 (4) 1.5按键部分 (5) 2.主要电路原理分析和说明 ..................................... - 6 -2.1红、绿、黄灯显示电路.. (6) 2.2时间显示电路 (6) 2.3按键电路 (8) 2.4时钟及复位电路, (9) 其电路原理图如图2.4所示 (9) 2.5完整电路原理图 (9) 2.6单片机相应管脚及功能说明 (12) 3.软件设计流程及描述......................................... - 14 - 3.1程序流程图 (14) 4.调试....................................................... - 16 -(1)硬件调试 (16) (2)软件调试 (16)

5.结束语..................................................... - 17 - 6.参考文献................................................... - 17 - 7.附录....................................................... - 19 - 1.源程序代码 (19) 2.实物图 (22)

基于数字电路的交通灯控制系统毕业设计.

目录 1引言 (1) 2设计目的和要求 (2) 3设计方案的比较和选定 (3) 4系统方框图 (4) 5 电路工作原理 (5) 6 电路设计 (6) 6.1 555定时器构成的秒脉冲信号发生器 (6) 6.1.1 555定时器的引脚 (6) 6.1.2 555定时器工作原理 (7) 6.1.3 秒脉冲信号发生器 (8) 6.2 定时器电路 (8) 6.2.1 定时器的作用 (8) 6.2.2 定时器的构成 (9) 6.3 控制器电路 (11) 6.4 译码器 (12) 7系统总电路图 (15) 8 总结 (16) 参考文献 (17) 致谢 (18) 附录 (20)

摘要 随着社会不断的发展,人民的生活水平不断的提高,汽车已经成为人们生活必不可少的一部分,伴随而来的是日趋复杂的交通问题。日趋复杂的交通指挥系统仅靠人力来完成是远远不够的,这就需要设计一个简单有效的交通灯控制系统来完成这些复杂的工作,从而使城市交通系统有序,安全地运行。交通灯控制系统的出现是社会发展下的必然产物,在城市道路系统中占有举足轻重的地位,它直接影响到城市的通车质量。所以,一个简单有效的交通灯控制系统对一个城市的发展具有巨大的意义。本电路也正是基于前人设计的基础上进行改进,全部由数字电路组成,比以前的方案更为精确。 关键词:汽车;交通问题;交通灯控制系统;发展;数电

Abstract With the development of the society, people's living standard continues to improve, the automobile has been an integral part of people's life, together with the increasingly complex traffic problem. It is far from enough to manage the increasingly complex command system just depend on human, it requires a simple but effective traffic lights command system to complete these complex work, which makes the urban transport system work in order and safety. So, a simple but effective traffic lights command system means a lot to the growth of a city . Therefore, many designer have worked out a lot of programs, most are traffic lights. This circuit develop on the predecessors’programs, all made up of digital circuit, which is more accurate than forever. Key words: automobile; traffic problem; traffic lights command system; develop; digital circuit

交通灯信号控制器仿真设计

交通灯信号控制器仿真设计 一、设计目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用Multisim软 件仿真。 4、通过查阅手册和文献资料,培养学生独立分析问题、解决问题以及团队协作能力。巩固所学知识,加强综合能力,提高实验技能,启发创新能力的效果 5、培养学生创新能力和创新思维。让学生通过动手动脑解决实际问题,巩固课程中所学的理论知识和实验技能。 二、设计要求 1、设计一个十字路口的交通灯控制电路,要求东西方向和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为45s。时间可设置修改。 2、在绿灯转为红灯时,要求黄灯先亮5s,才能变换运行车道。 3、黄灯亮时,要求每秒闪亮一次。 4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示。 5、假定+5V电源给定。 三、总体概要设计 设计一个十字路口的交通灯控制电路,要求东西方向和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都为45s,每次绿灯变红时黄灯先亮5秒。该交通灯控制系统的总体设计方案如下图所示所示。90进制加法计数器作为该系统的主控制电路,控制东西方向和南北方向交通的及LED显示,秒信号发生器产生整个定时系统的时间脉冲,通过加法计数器对秒脉冲加计数,当到达固定时刻,控制LED显示的减法计数器进行数制转换,交通灯做出相应的变化。 交通灯控制系统的总体设计方案 电

电路流程图 四、局部细节设计 一、秒脉冲电路部分

二、主控电路(89进制加法计数器)部分 三、东西方向减法计数器及LED显示部分

交通灯控制器的课程设计

交通灯控制器的课程设计

课程设计 课题:交通灯控制器的设 计

一、设计目的: 学习QuartusII的使用方法,熟悉可编程逻辑器 件的使用。通过制作来了解交通灯控制系统,交 通灯控制系统主要是实现城市十字交叉路口红绿 灯的控制。在现代化的大城市中, 十字交叉路口 越来越多,在每个交叉路口都需要使用红绿灯进 行交通指挥和管理,红、黄、绿灯的转换要有一个 准确的时间间隔和转换顺序,这就需要有一个安 全、自动的系统对红、黄、绿灯的转换进行管理, 本系统就是基于此目的而开发的。 二、设计任务: 1.满足如下时序要求: 南北方向红灯亮时,东西方向绿灯亮,反之亦 然。 2.每一方向的红(绿)黄灯共维持30秒。 3.当某一方向绿灯亮时,置显示器为30秒,然 后以每秒减1计数方式工作,直至减到数为3 秒时,红绿灯熄灭,黄灯开始间隙闪耀3秒, 减到为0,红绿灯交换,一次工作循环结束, 进入下一步另一方向的工作循环。 4.红绿黄灯均采用发光二极管。

5.设计由晶振电路产生1Hz标准秒信号的单元电 路。 6.要求对整体电路进行仿真,观察并记录下仿真 波形。 三、设计原理: ●交通灯有四个状态: G1 Y1 R1 G2 Y2 R2 S1. 亮灭灭灭灭亮 S2. 灭闪灭灭灭亮 S3. 灭灭亮亮灭灭 S4. 灭灭亮灭闪灭然后重复状态S1. ●分频器 分频器实现的是将高频时钟信号转换成底频的时钟 信号,用于触发控制器、计数器和扫描显示电路。 该分频器将时钟信号分频成1HZ和4HZ的时钟信 号。 ●控制器 控制器的作用是根据计数器的计数值控制发光二极 管的亮、灭,以及输出倒计时数值给七段数码管的 分位译码电路。此外,当检测到为夜间模式时,手 动控制点亮黄灯的二极管。

相关文档