文档库 最新最全的文档下载
当前位置:文档库 › 基于FPGA的DDS信号发生器的研究

基于FPGA的DDS信号发生器的研究

基于FPGA的DDS信号发生器的研究
基于FPGA的DDS信号发生器的研究

科研报告

姓名:李秀鹏

学号:08103499

班级:信科10-2班

基于FPGA的DDS信号发生器的研究

第1章绪论

1.1 课题背景

频率检测是电子测量领域的最基本也是最重要的测量之一,频率信号抗干扰强,易于传输,可以获得较高的测量精度,所以频率方法的研究越来越受到重视[1]。在频率合成领域中,直接数字合成(Direct Digital Synthesizer,简称:DDS)是近年来新的技术, 它从相位的角度出发直接合成所需波形。它是由美国人J.Tierncy首先提出来的,是一种以数字信号处理理论为基础,从相位概念出发直接合成所需波形的一种新的全数字技术的频率合成方法[2]。其主要优点有:频率改变速度快、频率分辨率高、输出相位连续、可编程、全数字化便于集成等,目前使用最广泛的一种DDS频率合成方式是利用高速存储器将正弦波的M个样品存在其中,然后以查找的方式按均匀的速率把这些样品输入到高速数模转换器,变成所设定频率的正弦波信号[3]。近30年来,随着超大规模集成、现场可编程门阵列(Field Programmable Gate Array,简称:FPGA)、复杂可编程器件(Complex programmable Logic Device,简称:CPLD)等技术的出现以及对DDS理论上的进一步探讨,使得DDS技术得到了飞速的发展。它已广泛应用于通讯、雷达、遥控测试、电子对抗、以及现代化的仪器仪表工业等许多领域。DDS的数字部分,即相位累加器和查表,被称为数控振荡器(NCO)[4]。

波形发生器即通常所说的信号发生器是一种常用的信号源,和示波器、电压表、频率计等仪器一样是最普遍、最基本也是应用最广泛的的电子仪器之一,几乎所有电参量的测量都要用到波形发生器。不论是在生产还是在科研与教学上,波形发生器都是电子工程师信号仿真试验的最佳工具。随着现代电子技术的飞速发展,现代电子测量工作对波形发生器的性能提出了更高的要求,不仅要求能产生正弦波、方波等标准波形,还能根据需要产生任意波形,且操作方便,输出波形质量好,输出频率范围宽,输出频率稳定度、准确度及分辨率高,频率转换速度快且频率转换时输出波形相位连续等。而传统波形发生器采用专用芯片,成本高,控制方式不灵活,已经越来越不能满足现代电子测量的需要,正逐步退出历

史舞台。可见,为适应现代电子技术的不断发展和市场要求,研究制作高性能的任意波形发生器十分有必要,而且意义重大。

1.2 国内外波形发生器发展现状

1.2.1 波形发生器的发展现状

在70年代前,信号发生器主要有两类:正弦波和脉冲波。这个时期的波形发生器多采用模拟电子技术,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信号波形,则电路结构非常复杂。在70年代后,微处理器的出现,可以利用处理器、A/D和D/A,硬件和软件使波形发生器的功能扩大,产生更加复杂的波形。这时期的波形发生器多以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的波形。

90年代末,出现几种真正高性能、高价格的波形发生器、但是HP公司推出了型号为HP770S的信号模拟装置系统,它由HP8770A任意波形数字化和HP1776A波形发生软件组成。HP8770A实际上也只能产生8种波形,而且价格昂贵。

到了二十一世纪,随着集成电路技术的高速发展,出现了多种工作频率可过GHz的DDS芯片,同时也推动了波形发生器的发展,2003年,Agilent的产品33220A能够产生17种波形,最高频率可达到20M,2005年的产品N6030A能够产生高达500MHz的频率,采样的频率可达1.25GHz。最近几年来,随着集成电路技术和器件水平的提高,国外一些公司先后推出各种各样的DDS专用芯片,如Qualcomm公司的Q2230、Q2334,AD公司的AD9955、AD9850、AD9851、AD9852等[5]。

1.2.2 国内外波形发生器产品比较

频率合成器被誉为电子系统的“心脏”,频率源的发展直接关系到电子系统性能的发展。信号发生器是一种常用的信号源,广泛应用于通信、雷达、测控、电子对抗以及现代化仪器仪表等领域,是一种为电子测量工作提供符合严格技术要求的电信号设备,和示波器、电压表、频率计等仪器一样是最普通、最基本也是应用最广泛的电子仪器之一,几乎所有电参量的测量都要用到波形发生器[6]。

早在1978年,由美国Wavetek公司和日本东亚电波工业公司公布了最高取样频率为5MHz,可以形成256点(存储长度)波形数据,垂直分辨率为8bit,主要用于振动、医疗、材料等领域的第一代高性能信号源,经过将近30年的发展,伴随着电子元器件、电路、及生产设备的高速化、高集成化,波形发生器的性能有了飞速的提高。变得操作越来越简单而输出波形的能力越来越强。波形操作方法的好坏,是由波形发生器控制软件质量保证的,编辑功能增加的越多,波形形成的操作性越好。目前我国已经开始研制信号发生器,并获得了可喜的成果,但总的来说,我国波形发生器还没有形成真正的产业,并且我国目前在波形发生器的的种类和性能都与国外同类产品存在较大的差距,因此加紧对这类产品的研制显得迫在眉睫[7]。

1.2.3 研究波形发生器的目的及意义

波形发生器是信号源的一种,主要给被测电路提供所需要的己知信号(各种波形),然后用其它仪表测量感兴趣的参数[8]。多功能波形发生器采用FPGA器件作为核心控制部件,精度高稳定性好,得到波形平滑,特别是由于FPGA的高速度,能实现较高频率的波形[9]。目前我国己经开始研制波形发生器,并取得了可喜的成果。但总的来说,我国波形发生器还没有形成真正的产业。就目前国内的成熟产品来看,多为一些PC仪器插卡,独立的仪器和VXI系统的模块很少,并且我国目前在波形发生器的种类和性能都与国外同类产品存在较大的差距,因此加紧对这类产品的研制显得迫在眉睫。

第2章DDS波形发生器的理论介绍

2.1 DDS的基本原理与特点

DDS即直接数字频率合成技术,是由美国学者J.Tiercy,M.Rader和B.Gold 于1971年首次提出,是一种以数字信号处理理论为基础,从相位概念出发直接合成所需波形的一种新的全数字技术的频率合成方法。从1971年至今,DDS已从一个工程新事物逐渐发展成为一个重要的设计工具。与大家熟悉的直接式和间接式(PLL)频率合成技术不同,DDS技术完全采用数字技术处理,属于第三代

频率合成技术。DDS 的主要优点是它的输出频率、相位和幅度能够在微控制器的控制下精确而快速的变换。DDS 的应用领域包括各类无线通信、有线通信、网络通信,各类需要频率信号的仪器、仪表、遥测、遥感设备、收音机和电视机等[10]。

本节以正弦信号的产生为例,阐述DDS 技术的基本原理。 对于一个频谱纯净的单频正弦信号可以用下式来描述:

(2-1)

其相位为

(2-2)

显然,该正弦信号相位和幅值均为连续变量。为了便于采用数字技术,应对连续的正弦信号进行离散化处理,即把相位和幅值均转化为数字量。

用频率为fclk 的基准时钟对正弦信号进行抽样 ,这样,在一个参考时钟周期T (2-3)

由上式得到的△θ为模拟量,为了将其转化为数字量,将2π切割成2N 等份作为最小量化单位,从而得到△θ的数字量M 为:

(2-4)

将式(2-3)带入(2-4 (2-5)

式(2-5)表明,在参考时钟频f clk 确定的情况下,输出正弦信号的频率f out 决定于M 的大小,并且与M 呈线性关系。通过改变M 的大小,就可以改变输出正弦信号的频率,因此,M 也称频率控制字。当参考时钟频率取2N 时,正弦信号的频率就等于频率控制字M 。当M 取1时,可以得到输出信号的最小频率步)π2(sin sin out out t f A t A S ==ωt f out π2=θ

进为

(2-6)

由此可知,只要N取值足够大,就可以得到非常小的频率步进值。

将相位转化为数字量以后,式(2-1)就可以描述为如下形式:

(2-7)

表示本周期相位值与前一个基准时钟周期的相位值的累加。

从式(2-7)可以看出,只要用频率控制字M进行简单的累加运算,就可以得到正弦函数的当前相位值。而正弦信号的幅值就是正弦信号的当前相位值的函数。由于正弦函数为非线性函数,很难实时计算,一般通过查表的方法来快速获得函数值。

有了上述理论分析,我们就可以得到一种用数字的方法获得正弦信号的方法:先构建一个N为的相位累加器,在每一个时钟周期内,将相位累加器中的值与频率控制字相加,得到当前的相位值。将当前的相位值作为ROM的地址,读出ROM中的正弦波数据,再通过D/A转化成模拟信号。频率控制字越大,相位累加器的输出变化越快,ROM的地址变化也越快,输出的正弦信号频率越高。需要注意的是,受ROM容量的限制,ROM地址位数一般小于相位累加器的位数,因此,把相位累加器输出的高位作为ROM的地址。只需要改变频率控制字,就可以改变输出信号的频率,因此,采用DDS技术,对输出信号频率的控制十分简单。DDS正弦信号发生器的基本原理框图如图2-1-1所示。

图2-1 DDS正弦信号发生器基本原理框图

2.2 DDS信号的优点与缺点

2.2.1 DDS的优点

(l)输出频率相对带宽较宽

输出频率带宽为50%fs(理论值),实际输出带宽仍可达到40%fs。

(2)频率转换时间短

频率时间等于频率控制字的传输时间,也就是一个时钟周期的时间。时钟频率越高,转换时间越短。DDS的转换时间可达纳微秒级数量级,比使用其他的频率合成方法都要短数个数量级。

(3)频率分辨率高

若时钟fs的频率不变,DDS的频率分辨率就是由相位累加器的位数N决定。只要增加相位累加器的位数N即可获得任意小的频率分辨率。目前,大多数DDS 的分辨率在1Hz数量级,许多小于1mHz甚至更小。

(4)相位变化连续

改变DDS输出频率,实际上改变的是每一个时钟周期的相位增量,相位函数的曲线是连续的,只是在改变频率的瞬间其频率发生了突变,因而保持了信号相位的连续。

(5)输出波形的灵活性

只要在DDS内部加上相应控制如调频控制FM,调相控制PM和调幅控制AM即可以方便灵活实现调频,调频和调幅等功能,产生FSK,PSK,ASK,MSK等信号。另外,只要在DDS的波形存储器存放不同波形数据,就可以实现各种波形的输出,如三角波,锯齿波和矩形波甚至是任意波形。当DDS的波形存储器分别存放正弦和余弦函数表时,即可得到正交的两路输出。

2.2.2 DDS的缺点

(1)输出带宽范围有限

由于DDS内部DAC和波形存储器(ROM)的工作速度有限,使得DDS输出的最高频率有限。目前市场上采用CMOS,TTL,EcL,工艺制作的DDS芯片,

工作频率一般在几十MHz至400MHz左右。采用GaAS工艺的DDS芯片工作频率可达2GHz以上。

(2)输出散杂大

由于DDS采用全数字结构,不可避免地引入了散杂。其来源主要由三个:相位累加器相位舍入误差造成的散杂;幅度量化误差造成的散杂和DAC非理想特性造成的散杂.。

第3章开发平台介绍

第三章硬件平台FPGA介绍

3.1.1 FPGA简介

自1985年Xilinx公司推出有史以来第一颗现场可程序化逻辑组件至今,已经历了超过二十几年的发展历史。在发展过程中,以FPGA为代表的数字系统现场集成取得了惊人的发展:现场可程序化逻辑组件从最初的1200个可利用逻辑闸,发展到90年代的25万个可利用逻辑闸。其后不到数年,著名FPGA厂商,包括Altera公司、Xilinx等公司,又陆续推出了内建数百万逻辑闸以上的FPGA 芯片,将现场可程序化组件的整合度提高到一个新的水平。如今,各厂商不再盲目追加逻辑闸的数量,转而努力消除过去FPGA弱势之处,以强化过的运算效能、更为节省的功耗,向各种运算领域扑天盖地而来。

纵观现场可程序化逻辑组件的发展历史,其之所以具有巨大的市场吸引力,在于FPGA不仅可以解决电子系统小型化、低功耗、高可靠性等问题,而且其开发周期短、开发软件投入少、芯片价格不断降低,促使FPGA在某些情况下得以取代ASIC的市场,特别是对小量、多样,短开发期的产品需求,使FPGA成为首选。

3.1.2 FPGA工作原理

FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个新概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。它的基本特点主要有:采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。FPGA

可做其它全定制或半定制ASIC电路的中试样片。FPGA内部有丰富的触发器和I/O引脚。FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。FPGA采用高速CHMOS工艺,功耗很低,可以与CMOS、TTL电平兼容。纵观现场可程序化逻辑组件的发展历史,其之所以具有巨大的市场吸引力,在于FPGA不仅可以解决电子系统小型化、低功耗、高可靠性等问题,而且其开发周期短、开发软件投入少、芯片价格不断降低,促使FPGA在某些情况下得以取代ASIC的市场,特别是对小量、多样,短开发期的产品需求,使FPGA成为首选[11]。

FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM 即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活[12]。

3.1软件平台Quartus II介绍

图3-2 Quartus II软件界面

如图3-2所示为Quartus II软件的基本界面,Quartus II软件是Altera的综合

开发工具,它集成了Altera的FPGA/CPLD开发流程中所涉及的所有工具和第三方软件接口。Quartus II几乎支持Altera现行的所有FPGA,在该集成开发环境中可以实现电路的设计、综合、适配到最后形成下载文件以及在线配置FPGA,还能对电路进行功能仿真,对适配后形成的最终电路进行时序仿真。也就是说只要有了Quartus II这个集成开发环境,就基本上可以完成Altera公司FPGA开发过程中的所有工作。另外,为了方便设计,Quartus II还提供了免费LPM模块供用户调用,如计数器、存储器、加法器、乘法器等。除了这些免费的LPM模块外,Altera公司还开发了有偿IP核提供给有需要的用户使用。这些LPM模块和IP核都大大简化了设计过程,缩短了开发周期。Quartus II支持多种输入方式,常用的有:

(1)原理图输入:这种方法最直观,适合顶层电路的设计;

(2)硬件描述语言输入:包括AHDL、VHDL及Verilog HDL输入。采用硬件描述语言的优点易于使用自顶向下的设计方法、易于模块规划和复用、移植性强、通用性好。

(3)网表输入:对于在其他软件系统上设计的电路,可以采用这种设计方法,而不必重新输入,Quartus II支持的网表文件包括EDIF、VHDL及Verilog等格式。这种方法的优点是可以充分利用现有的设计资源。

相关文档