文档库 最新最全的文档下载
当前位置:文档库 › 实验七 集成触发器的逻辑功能测试及应用 已改

实验七 集成触发器的逻辑功能测试及应用 已改

实验七  集成触发器的逻辑功能测试及应用 已改
实验七  集成触发器的逻辑功能测试及应用 已改

实验七 集成触发器的逻辑功能测试及应用

一实验目的

1.熟悉JK 触发器的基本原理及逻辑功能。

2.熟悉D 触发器的基本原理及逻辑功能,并掌握其寄存器移位功能。 3.触发器应用。 二、实验仪器及器件

仪器:逻辑箱,示波器,数字万用表

器材: 74LS74、74LS76、74LS00 三、实验基本原理:

JK 触发器有J 输入端和K 输入端,而其R D 端和S D 端则具有置“0”置“1”功能,逻辑功能如下:

当J=K=1时,CP 脉冲作用下,触发器状态翻转,写成Q n+1=n Q 当J=K=0时,CP 脉冲作用下,触发器保持原状态,写成O n+1=Q n 。 当J=1,K=0时,在CP 脉冲作用下,触发器置“1”,写成Q n+1=1。 当J=0,K=1时,在CP 脉冲作用下,触发器置“0”,写成Q n+1=0。

四、触发器的逻辑功能测试:

1.JK 触发器(选择74LS76) (1)触发器置“0”“1”的功能测试: 表7—1 JK 触发器S D 、R D 功能表

Q 将S D 、R D 分别接开关K i+1、K i ,Q 、Q 分别接发光二极管L i+1,L i ,按

表7—1要求改变S D ,R D (J ,K ,CP 处于任意状态),并在S D R D 作用期间,任意改变J 、K 、CP 的状态,观察Q 和

Q 的状态,将结果记录于 表7—1。

(2)J 、K 触发器逻辑功能的测试:

将J 、K

分别接开关,而上述实验中的S

D 、R D 所接开关保持,并置于S D =1,R D =1的状态,时钟CP 接单脉冲信号源的输出P+,按表7—2要求,将结果记录于表7—2。 (3)将JK 触发器J=K=“1”,构成计数电路,用双踪示波器观察CP 、Q 的波形

图7—1

2.D触发器:(选择74LS74)

(1)触发器置“0”置“1”功能的测试:

将S D、R D分别接开关,Q、Q分别接发光二极管,按表7—3

要求改变S

D

、R D(D及CP处于任意状态)并在S D、R D作用期间,任意改变D与CP的状态,测试S D、R D的功能,并将测试结果记

录于表7—3。

(2)对D触发器逻辑功能的测试,结果记录于表7—4。

(3)将D触发器的D与Q相接,构成计数电路,用双踪示波观察其CP、Q的波形。

图7—2

表7—3 D触发器S D、R D功能表表7—4 D触发器逻辑功能表五、触发器应用:

1.用JK触发器(74LS76)组成异步二进制计数器如图7—3。

图7—3 用JK主从触发器组成的异步二进制递增计数器2.用二个D触发器组成移位寄存器如图7—4

3.R—S触发器的功能测试与应用:如图7—5

(1)选择74LS00芯片,接成基本R—S触发器形式,测试其功能。

(2)将输入R、S端接入Ki,输出Q接上一个计数显示电路,,拨动开关,观察计数情况;将开关Ki直接接上计数显示电路,拨动开关观察计数情况。

六、思考题:1.RS触发器是如何起抗抖动作用的?

Q1

D1 CP

Q2

D2 CP

Q3

D3 CP

Q4

D4 CP

CP Q J

C

Q K

Q J

C

Q K

Q J

C

Q K

Q J

C

Q K

进位

输出

FF3FF2FF

1

FF0

Q3Q2Q1Q0

Rd

N

实验七 触发器解读

实验七、触发器 一、实验目的 (1)理解触发器的用途、类型和工作原理。 (2)掌握利用T-SQL语句创建和维护触发器的方法。(3)掌握利用SQL Server Management Studio创建、维护触发器的方法。 二、实验内容、 1、创建AFTER触发器 (1)创建一个在插入时触发的触发器sc_insert,当向SC表插入数据时,须确保插入的学号已在Student表中存在,并且还须确保插入课程号在Course表中存在;若不存在,则给出相应的提示信息,并取消插入操作,提示信息要求指明插入信息是学号不满足条件还是课程号不满足条件。(注:Student表与SC表的外键约束要先取消。)

(2)为Course表创建一个触发器Course_del,当删除了Course表中的一条课程信息时,同时将表SC中相应的学生选课记录也删除。 (3)在Course表中添加一个平均成绩avg_modify,当SC表中某学

生的成绩发生变化时,则Course表中的平均成绩也能及时相应发生改变。 (4)测试上述三个触发器。

2、创建INSERTED OF 触发器 (1)创建一视图student_view,包含学号、姓名、课程号、课程名、成绩等属性,在student_view上创建一个触发器grade_modify,当对student_view中的学生的成绩进行修改时,实际修改的是SC中的相应记录。

(2)在Student表中插入一个getcredit字段(记录学生所获学分的情况),创建一个触发器ins_credit,当更改(注:含插入时)SC表中的学生成绩时,如果新成绩大于等于60分,则该生可获得这门课的学分,如果新成绩小于60分,则该生未能获得这门课的学分。 (3)测试上述两个触发器。

集成触发器及其应用电路设计

华中科技大学 电子线路设计、测试与实验》实验报告 实验名称:集成运算放大器的基本应用 院(系):自动化学院 地点:南一楼东306 实验成绩: 指导教师:汪小燕 2014 年6 月7 日

、实验目的 1)了解触发器的逻辑功能及相互转换的方法。 2)掌握集成JK 触发器逻辑功能的测试方法。 3)学习用JK 触发器构成简单时序逻辑电路的方法。 4)熟悉用双踪示波器测量多个波形的方法。 (5)学习用Verliog HDL描述简单时序逻辑电路的方法,以及EDA技术 、实验元器件及条件 双JK 触发器CC4027 2 片; 四2 输入与非门CC4011 2 片; 三3 输入与非门CC4023 1 片; 计算机、MAX+PLUSII 10.2集成开发环境、可编程器件实验板及专用电缆 三、预习要求 (1)复习触发器的基本类型及其逻辑功能。 (2)掌握D触发器和JK触发器的真值表及JK触发器转化成D触发器、T触发器、T 触发器的基本方法。 (3)按硬件电路实验内容(4)(5),分别设计同步3 分频电路和同步模4 可逆计数器电路。 四、硬件电路实验内容 (1)验证JK触发器的逻辑功能。 (2)将JK触发器转换成T触发器和D触发器,并验证其功能。 (3)将两个JK触发器连接起来,即第二个JK触发器的J、K端连接在一起, 接到第一个JK触发器的输出端Q两个JK触发器的时钟端CP接在一起,并输入1kHz 正方波,用示波器分别观察和记录CP Q、Q的波形(注意它们之间的时序关系),理解2分频、4分频的概念。 (4)根据给定的器件,设计一个同步3分频电路,其输出波形如图所示。然后组装电路,并用示波器观察和记录CP Q、Q的波形。 (5)根据给定器件,设计一个可逆的同步模4 计数器,其框图如图所示。图中,M为控制变量,当M=0时,进行递增计数,当M=1时,进行递减计数;Q、 Q为计数器的状态输出,Z为进位或借位信号。然后组装电路,并测试电路的输入、输出

触发器逻辑功能测试及应用

实验六触发器逻辑功能测试及应用 一、实验目的: 1、掌握基本RS、JK、D、T与T′触发器的逻辑功能; 2、学会验证集成触发器的逻辑功能及使用方法; 3、熟悉触发器之间相互转换的方法。 二、实验原理: 触发器:根据触发器的逻辑功能的不同,又可分为: 三、实验仪器与器件: 实验仪器设备:D2H+型数字电路实验箱。 集成块:74LS112 74LS74 74LS04 74LS08 74LS02 74LS86 四、实验内容与步骤: 1、基本RS触发器逻辑功能的测试: CP J K S-D R-D 下降沿0 0 1 1 0 0 下降沿0 1 1 1 0 0 下降沿 1 0 1 1 0 1 下降沿 1 1 1 1 1 0 3、D触发器逻辑功能测试: D CP S-D R-D Q X X 0 1 0 X X 1 0 1 (2)D触发器逻辑功能测试: CP J K D S D R Q ×××0 1 0 ××× 1 0 1

D CP S-D R-D 0 上升沿 1 1 1 0 1 上升沿 1 1 0 1 4、不同类型时钟触发器间的转换: JK转换为D触发器: J D K D Q D DQ Q Q D D Q Q K Q J Q n n n n n n n n = = + = + = = + = + + ; ) ( 1 1 D转换为JK 触发器: n n n n n n Q J Q K D D Q Q K Q J Q = = = + = + + 1 1 JK转换为T触发器: K J T Q T Q T Q n n n = = + = +1 T转换为JK触发器: JK转换为RS触发器:RS转换为JK触发器: 五、实验体会与要求: 1、根据实验结果,写出各个触发器的真值表。 2、试比较各个触发器有何不同? 3、写出不同类型时钟触发器间的转换过程。 1

触发器的功能测试及应用 建筑电气

实验七 触发器的功能测试及应用 一、实验目的 (1)通过实验验证J —K 触发器和D 触发器的逻辑功能,从而加深对触发器工作原理的理解; (2)掌握用触发器组成二进制加、减法计数器的方法。 二、预习要求 (1)复习J —K 触发器和D 触发器的工作原理; (2)熟悉CT74LS112双J —K 触发器和CT74LS74双D 触发器的逻辑功能、逻辑符号和外引线排列; (3)认清触发器的功能表,掌握上升沿和下降沿触发有什么不同; (4)复习用触发器组成异步二进制加减计数器的工作原理。 三、实验原理及参考电路 触发器是具有记忆功能的基本逻辑单元,其种类很多,本实验采用逻辑功能较全、用途 和置0端D R 都为低电平有效,且与CP 端状态无关,触发器处于工作状态时,D S 和D R 必须都接高电平。JK 触发器利用CP 的下降沿触发,D 触发器利用CP 的上升沿触发。

四、实验内容和步骤 1.验证JK 触发器的逻辑功能 将CT74LS112集成块插入实验箱的集成电路底座上,认清有关插线柱和电路外引线的对应关系。 将双JK 触发器中一个触发器的D S 、D R 、J 、K 输入端分别接实验箱的逻辑开关,CP 端接单次脉冲,Q 、Q 接发光二极管。检查无误后接通5V 直流电源,并按表2-7-1逐项验证JK 触发器的功能。 2.验证D 触发器的逻辑功能 将CT74LS74集成块插入实验箱的集成电路底座上,将其中一个触发器的D S 、D R 、D 输入端分别接实验箱的逻辑开关,CP 端接单次脉冲,Q 、Q 接发光二极管。检查无误后接通5V 直流电源,并按表2-7-2逐项验证D 触发器的功能。

数据库实验报告(7)-触发器

桂林航天工业学院学生实验报告 实验七 实验名称触发器实验日期2019.11.12 实验目的 1.了解触发器的基本原理,掌握创建触发器的方法。 2.掌握修改和删除触发器的方法,能够设计简单的触发器。 实验内容触发器 实验方法及步骤 1、创建一个触发器InsStud,当向student表插入一条记录时,同时把该记录插入到关系student2。 CREATE TRIGGER InsStud on student FOR INSERT AS insert into student2 select*from inserted 2、向student表中插入一条记录('201215130','张三','男',20,'CS'),查看student和student2表中记录的变化是什么? student和student2表中都能插入('201215130','张三','男',20,'CS')这条记录 insert student values('201215130','张三','男',20,'CS'); select*from student select*from student2 3、创建一个触发器DelStud,当向student表删除一条记录时,同时把该记录从student2表删除掉。

CREATE TRIGGER DelStud on student FOR delete AS delete student2 select*from deleted 4、删除student表中的sno为201215130的元组,同时查看student2表中元组的变化是什么? student和student2表中都能删除('201215130','张三','男',20,'CS')这条记录。 delete student where sno='201215130' select*from student select*from student2 5、为course表创建一个名为del_rollback_tr的DELETE触发器,该触发器的作用是禁止删除course表中的记录。 CREATE TRIGGER del_rollback_tr on course FOR delete AS if (exists(select*from deleted)) rollback insert course values('8','张','5',2); delete course where cno='8'

实验七触发器

实验七触发器 文件编码(008-TTIG-UTITD-GKBTT-PUUTI-WYTUI-8256)

实验七触发器 一、实验目的 (1)理解触发器的用途、类型和工作原理 (2)掌握利用T-SQL语句创建和维护触发器的方法 (3)掌握利用企业管理器创建、维护触发器的方法 二、实验内容 1.创建after触发器 (1)创建一个在插入时触发的触发器sc_insert,当向sc表插入数据时,须确保插入的学号已在Student表中存在,并且还须确保插入的课程号在Course表中存在;若不存在,则给出相应的提示信息,并取消插入操作,提示信息要求指明插入信息是学号不满足条件还是课程号不满足条件(注:Student表与sc表的外键约束要先取消)。 create trigger sc_insert on sc after insert as

if not exists(select*from student,inserted where= begin print'插入信息的学号不在学生表中!' if not exists(select*from course,inserted where= print'插入信息的课程号不在课程表中!' rollback end else begin if not exists(select*from course,inserted where= begin print'插入信息的课程号不在课程表中!' rollback end

end 执行: ①、insert into SC values(,'001','78') 删除外键约束: alter table SC drop constraint FK__SC__Sno__182C9B23 ②、insert into SC values(,'001','78') ③、insert into SC values('','006','78') (2)为Course表创建一个触发器Course_del,当删除了Course表中的一条课程信息时,同时将表sc表中相应的学生选课记录删除掉。 create trigger course_del on course after delete

数电实验触发器及其应用

数电实验触发器及其应用 数字电子技术实验报告 实验三: 触发器及其应用 一、实验目的: 1、熟悉基本RS触发器,D触发器的功能测试。 2、了解触发器的两种触发方式(脉冲电平触发和脉冲边沿触发)及触发特点 3、熟悉触发器的实际应用。 二、实验设备: 1 、数字电路实验箱; 2、数字双综示波器; 3、指示灯; 4、74LS00、74LS74。 三、实验原理: 1、触发器是一个具有记忆功能的二进制信息存储器件,是构成多种时序 电路的最基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。在数字系统和计算机中有着广泛的应用。触发器具有两个稳定状态,即“0”和“ 1 ”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态。触发器有集成触发器和门电路(主要是“与非门” )组成的触发器。 按其功能可分为有RS触发器、JK触发器、D触发器、T功能等触发器。触发方式有电平触发和边沿触发两种。 2、基本RS触发器是最基本的触发器,可由两个与非门交叉耦合构成。 基本RS触发器具有置“ 0”、置“ 1”和“保持”三种功能。基本RS触发器

也可以用二个“或非门”组成,此时为高电平触发有效。 3、D触发器在CP的前沿发生翻转,触发器的次态取决于CP脉冲上升沿n+1来到之前D端的状态,即Q = D。因此,它具有置“ 0”和“T两种功能。由于在CP=1期间电路具有阻塞作用,在CP=1期间,D端数据结构变RS化,不会影响触发器的输出状态。和分别是置“ 0”端和置“ 1” DD 端,不需要强迫置“ 0”和置“ 1”时,都应是高电平。74LS74(CC4013, 74LS74(CC4042均为上升沿触发器。以下为74LS74的引脚图和逻辑图。 馬LD 1CP 1云IQ LQ GM) 四、实验原理图和实验结果: 设计实验: 1、一个水塔液位显示控制示意图,虚线表示水位。传感器A、B被水浸沿时

触发器及其应用实验报告 - 图文-

实验报告 一、实验目的和任务 1. 掌握基本RS、JK、T和D触发器的逻辑功能。 2. 掌握集成触发器的功能和使用方法。 3. 熟悉触发器之间相互转换的方法。 二、实验原理介绍 触发器是能够存储1位二进制码的逻辑电路,它有两个互补输出端,其输出状态不仅与输入有关,而且还与原先的输出状态有关。触发器有两个稳定状态,用以表示逻辑状态"1"和"0飞在二定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二进制信息存储器件,是构成各种时序电路的最基本逻辑单元。 1、基本RS触发器 图14-1为由两个与非门交叉祸合构成的基本RS触发器,它是无时钟控制低电平直接触发的触发器。 基本RS触发器具有置"0"、置"1"和保持三种功能。通常称s为置"1"端,因为 s=0时触发器被置"1"; R为置"0"端,因为R=0时触发器被置"0"。当S=R=1时状态保持,当S=R=0时为不定状态,应当避免这种状态。

基本RS触发器也可以用两个"或非门"组成,此时为高电平有效。 S Q S Q Q 卫R Q (a(b 图14-1 二与非门组成的基本RS触发器 (a逻辑图(b逻辑符号 基本RS触发器的逻辑符号见图14-1(b,二输入端的边框外侧都画有小圆圈,这是因为置1与置。都是低电平有效。 2、JK触发器 在输入信号为双端的情况下,JK触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK触发器,是下降边沿触发的边沿触发器。引脚逻辑图如图14-2所示;JK触发器的状态方程为: Q,,+1=J Q"+K Q 3 5

J Q CLK K B Q 图14-2JK触发器的引脚逻辑图 其中,J和IK是数据输入端,是触发器状态更新的依据,若J、K有两个或两个以上输入端时,组成"与"的关系。Q和Q为两个互补输入端。通常把Q=O、Q=1的状态定为触发器"0"状态;而把Q=l,Q=0 定为"}"状态。 JK触发器常被用作缓冲存储器,移位寄存器和计数器。 CC4027是CMOS双JK触发器,其功能与74LS112相同,但采用上升沿触发,R、S端为高电平

数字电路 触发器的功能测试实验报告

肇 庆 学 院 电子信息与机电工程 学院 数字电路 课 实验报告 12电气(1) 班 姓名 李俊杰 学号 201224122119 实验日期2014年5 月19 日 实验合作者:王圆圆 老师评定 实验题目:触发器的功能测试 一、实验目的 (一)掌握基本RS 触发器的功能测试。 (二)掌握集成触发器的电路组成形式及其功能。 (三)熟悉时钟触发器不同逻辑功能之间的相互转换。 (四)认识触发器构成的脉冲分频电路。 二、实验仪器: DZX-1型电子学综合实验装置 UT52万用表 GDS-806S 双踪示波器 74LS00 74LS74 74LS76 三、实验内容&数据分析 触发器具有两个稳定状态,用以表示逻辑状态“1”和“0”,在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态,它是一种具有记忆功能的二进制信息存贮器件,是构成各种时序电路的最基本逻辑单元。第一步,将触发器74LS74、74LS76引出端排列图和状态表画在实验报告上。(注:此项内容必须在进实验室前完成。) (一)测试基本RS 触发器的逻辑功能 用两个与非门组成基本RS 触发器如图4-1,输入端R ,S 接逻辑电平开关输出插口,输出端Q 、Q 接逻辑电平显示插口,按表4-1要求测试。 表4-1 基本RS 触发器特性表(输入低电平有效) 图4-1 由74ls00连接成的基本RS 触发器 测试集成双JK 触发器74LS76的逻辑功能 1、测试D R 、 D S 端的复位、置位功能

74LS76逻辑符号如图4-2,对照其插脚(查阅附录B )取其中一JK 触发器,D R 、 D S 、J 、K 端分别接逻辑电平开关输出插口,CP 接单次脉冲源(正脉冲),Q 、Q 接至逻辑电平显示输入插口。要求在D R =0, D S =1以及 D S =0,D R =1时任意改变J 、K 及CP 的状态用“ⅹ”符 号表示,观测Q 、Q 状态。 图4-2 74LS76管脚排列 2、测试触发器的逻辑功能 按表4-2的要求改变J 、K 、CP 端状态,记录Q 的状态变化,观察触发器状态的更新发生在CP 脉冲(单脉冲)的上降沿还是下降沿?(注意D R 、D S 端的电平接法) 表4-3 集成双JK 触发器74LS76特性表2 图4-2 JK 触发器逻辑符号 3、JK 触发器的J 、K 端连在一起,构成T ’触发器。 在CP 端输入1MHZ 连续脉冲,用双踪示波器观察CP 、Q 端的波形,注意相位与时间的关系。

数字电路实验报告第七章触发器

数字电路与逻辑设计基础实验 任课教师:陈志坚 实验名称:触发器(实验七) 云南大学信息学院 一、实验目的 ⑴学习触发器逻辑功能的测试方法 ⑵进一步熟悉RS触发器、集成D触发器和JK触发器的逻辑功能及其触发方式二、实验器材 ⑴直流稳压电源、数字逻辑实验箱 ⑵74LS00、74LS74、74LS76 三、实验内容和仿真

1.基本RS触发器 基本RS触发器用与非门74LS00构成,按图7-1接好线。在输入端加上不同的信号,通过发光二极管观察电路输出端的状态。把结果填入自制的表中。 图7-1 基本RS触发器图7-2 D触发器的预置和清零功能 用带预置和清除的双D型触发器74LS74来测试上升沿触发集成D型触发器的逻辑功能。先按图7-2接线,在时钟脉冲的不同电平状态,改变预置端PRE 和清除端CLR的信号,通过发光二极管观察触发器的输出状态。把结果填入自制的表中。然后,按图7-3接线,测试D触发器的逻辑功能。 在D触发器的逻辑功能测试中,先将数据输入端D分别置入“0”或“1”,再用清零端CLR和预置端PRE分别将触发器的输出端清除为“0”或置位为“1”,最后再用单脉冲按钮向触发器的时钟输入端CLK发出脉冲的上升边沿和下降边沿,同时观察电路输出端Q的输出状态,把结果填入表7-1中。 注意:清零和置位之后,清除端CLK和预置端PRE必须置成“1”状态。 图7-3 D触发器逻辑功能测试7-4 JK触发器清除和预置功能的测试

D触发器仿真(1) D触发器仿真(2

1 3.JK触发器 用带预置和清除的双JK触发器74LS76来测试下降沿触发集成JK触发器的逻辑功能。先按图7-4接线,改变预置端PRE和清除端CLR的信号,通过发光二极管观察触发器Q输出端的输出状态。把结果填入自制的表中。然后,按图7-5接线,测试JK触发器的逻辑功能。 图7-5 JK触发器逻辑功能测试

基本触发器功能验证实验

基本触发器功能验证实验预习参考 (注意:所有表格均可用状态方程提前填好) 1、 R S 触发器 图1-5-1基本RS 触发器的原理图,公式(1-5-1)是RS 触发器的状态方程。 n n n n RQ Q Q S Q ==++1 1 (1-5-1 ) 图1-3-3基本RS 触发器 表1-5-1 R S Q (V ) Q (V ) 触发器状态 0 1 1 0 1 1 0 0 1 1

图1-3-4基本RS 触发器实验连线图 2、D 触发器 图1-5-2基本RS 触发器的原理图,公式(1-5-2)是D 触发器的状态方程。 D Q n =+1 (1-4-2) (CP 上升沿有效) 图1-3-5 D 触发器IC 引脚图 表1-5-2 测试D 触发器置位、复位功能 CP D D R D S 1+n Q (V ) 1+n Q (V ) Q 状态 ф ф 1 ф ф 1 0

表1-5-3 D触发器同步功能测试 Qn 0 0 1 1 D 0 1 0 1 CP 0 ?0 ?0 ?0 ?Qn+1 图1-3-6 D触发器实验测试图

图1-3-7 D触发器实验测试图 3、JK触发器 图1-5-3JK触发器的原理图,公式(1-4-3)是JK触发器的状态方程。 +1(1-5-3) n Q n n = Q+ Q K J (CP下降沿有效) 图1-3-8 JK触发器的原理图

表1-5-4测试JK触发器逻辑功能 CP ? ? ? ?J 0 0 0 0 1 1 1 1 K 0 0 1 1 0 0 1 1 Qn 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 Qn+1 图1-3-9 JK触发器原理测试图

实验七设计基本触发器

实验七设计基本触发器一、实验准备 该实验不需要使用七个模块组中的功能单元,所以拨码开关状态;拨码开关MODEL_SEL5-8全置于“OFF状态,以使用JTAG下载方式,这里用户可根据自己所用的下载线来选择适当的下载方式。矚慫润厲钐瘗睞枥庑赖賃軔朧。 二、实验目的 熟悉利用Quartusll开发数字电路的基本流程和Quartusll软件的相关操作, 介绍Quartusll的软件,掌握基本的设计思路,软件环境参数配置,仿真,管脚分配,下载等基本操作。 了解VHDL或原理图设计方法与定制IP模块的思想。 掌握基本触发器的设计思路及工作原理 三、实验原理 通过开关分别输入单bit的数据,并用开关作为控制信号,实现置位和复位。将 T触发器的结果分别在2个LED上显示。聞創沟燴鐺險爱氇谴净祸測樅。 四、实验内容 1、用VHDL语言设计D触发器和T触发器;注意时钟要用到分频电路。 2、用Quartusll软件进行编译,仿真,下载到实验平台进行验证。 五、设计原理框图 六、引脚分配情况 D A21 CLK P25 CLR F6 P SET B21 QT AA11 Q AC10 MODEL SEL1-3 均置于“OFF D触发器和

Q,QT 为D 触发器和T 触发器的输出信号,分别对应平台上的 LED0和LED7。CLR,PSET 为高电平清零和置位信号, CLK 为时钟信号。残骛楼諍锩瀨濟溆塹籟婭骤東。 七、结果分析 波形仿真 如上所述,Q,QT 作为D 触发器和T 触发器的输出信号,分别对应平台 CLR,PSET 为高电平清零和置位信号, CLK 为时 这里简单列出波形图, 实际可以自己加上其他的 八、VHDL 源程序代码 --D flip flop library IEEE; use IEEE.std_logic_1164.all; ENTITY DFFL OP IS PORT ( D: in STD_LOGIC; CLK,CLR, PSET: in STD_LOGIC;-- 时钟,清零,置位 Q: out STD LOGIC --输出 ); END DFFL OP; ARCHITECTURE FFA OF DFFL OP IS BEGIN P ROCESS(CLK ,P SET,CLR) BEGIN Q<='1'; ELSIF CLR='1' THEN Q<='0'; ELSIF CLK'EVENT AND CLK='1' THEN Name CLK CLR £ FS ET G QT Value a 11.£5 ] B B B B B B ]ps ISO. 0 ILS 11.25 ns -J ______________ -rLZFLZFLFLJnLJn_J^ 4S0 0 ns 从上图可以看出, 上的LED0和LED7,正确对应了结果。 钟信 号,都实现了相应的功能, 限于篇幅, 信号进行仿真。酽锕极額閉镇桧猪訣锥顧荭钯。 --输入 IF P SET='1' THEN --异步置位 --异步7冃零

触发器实验报告

触发器实验报告 集团标准化工作小组 #Q8QGGQT-GX8G08Q8-GNQGJ8-MHHGN#

实验报告 课程名称:数字电子技术基础实验 指导老师: 周箭 成绩:__________________ 实验名称:集成触发器应用 实验类型: 同组学生姓名:__邓江毅_____ 一、实验目的和要求(必填) 二、实验内容和原 理(必填) 三、主要仪器设备(必填) 四、操作方法和实 验步骤 五、实验数据记录和处理 六、实验结果与分 析(必填) 七、讨论、心得 实验内容和原理 1、D →J-K 的转换实验 设计过程:J-K 触发器和D 触发器的次态方程如下: J-K 触发器:n n 1+n Q Q J =Q K +, D 触发器:Qn+1=D 若将D 触发器转换为J-K 触发器,则有:n n Q Q J =D K +。 实验结果: J K Qn-1 Qn 功能 0 0 0 0 保持 1 1 0 1 0 0 置0 1 0 1 1 0 1 翻转 1 0 1 0 1 置1 1 1 实验截图: 专业:电卓1501 姓名:卢倚平 学号: 日期:地点:东三404

(上:Qn ,下:CP ,J 为高电平时) 2、D 触发器转换为T ’触发器实验 设计过程:D 触发器和T ’触发器的次态方程如下: D 触发器:Q n+1= D , T ’触发器:Q n+1=!Q n 若将D 触发器转换为T ’触发器,则二者的次态方程须相等,因此有:D=!Qn 。 实验截图: (上:Qn ,下:!Qn )CP 为1024Hz 的脉冲。 3、J-K →D 的转换实验。 ①设计过程: J-K 触发器:n n 1+n Q Q J =Q K , D 触发器:Qn+1=D 若将J-K 触发器转换为D 触发器,则二者的次态方程须相等,因此有:J=D ,K=!D 。 实验截图:

实验七-8421码检测电路

实验七8421码检测电路的设计 姓名:张跃佳 班级:电自2班 学号: 实验时间:2015.12.24

【实验目的】 1.了解检测电路的工作原理 2.进一步掌握同步时序逻辑电路的设计方法 【实验仪器及器件】 1.实验箱、万用表、示波器 2.74LS73、74LS74、74LS00、74LS20、74LS197 【实验原理】 本实验要求设计一个8421BCD码(串行输入)检测电路。此电路是用来检测串行的8421码传输过程中是否发生错误。假定8421BCD码传送过程中是由低到高串行送数,例如十进制2(代码为0010)是按0、1、0、0次序传送的。如果在传送过程中代码发生错误,出现非法数码(不在0000到1001之间的代码)则检测电路发生一脉冲信号。 实验所用触发器为JK触发器,要求自己设计、自己安装和测试。 设计提示 本实验的设计关键是建立原始状态图和状态表。根据要求8421BCD码是由低位到高位传送,该电路每四个码元检测一次,当电路收到第四个码元时,若判断是非法码,则输出为1,否则输出为0.可见此电路为米里时序电路。 设检测电路初始状态为S ,当电路接收第一个码元后,根据输入是0还是1, 将分别转到两个不同的新状态S 1和S 2 ,从S 1 或S 2 出发,接收到第二个码元后, 又根据是0还是1,又转到两个不同的新状态,类推到接收到的第三、四码元后电路执行统一的动作。在接收到第四个码元后,根据接收的代码判断是否是非法码而确定其输出是否为1,并且电路回到初始状态S ,准备接受新的一组码组。 根据上面的分析很容易做出原始状态和状态表了。这样做出的元素状态表有15个状态,经过化简后只剩下6个状态。化简后的状态如表(一)。由简化状态表,根据状态分配原则,可得许多分配方案,其中一种分配方案如表(二),得到的结果是:

D触发器及其应用实验报告

实验五D触发器及其应用 实验人员:班号:学号: 一、实验目的 1、熟悉D触发器的逻辑功能; 2、掌握用D触发器构成分频器的方法; 3、掌握简单时序逻辑电路的设计 二、实验设备 74LS00 ,74LS74,数字电路实验箱,数字双踪示波器,函数信号发生器 三、实验内容 1、用74LS74(1片)构成二分频器、四分频器,并用示波器观察波形; 74LS74是双D触发器(上升沿触发的边沿D触发器),其管脚图如下: 其功能表如下: ○1构成二分频器:用一片74LS74即可构成二分频器。实验电路图如下:

○2构成四分频器:需要用到两片74LS74。实验电路图如下: 2、实现如图所示时序脉冲(用74LS74和74LS00各1片来实现) 将欲实现功能列出真值表如下:

Q 1n+1=Q 0n =D 1 Q 0n+1=Q 1n ????=D 0 F ′=Q 1n Q 0n ???? F =F ′?CP 连接电路图如下: 四、实验结果 1、用74LS74(1片)构成二分频器、四分频器。示波器显示波形如下: ○ 1二分频器: ○ 2四分频器:

2、实现时序脉冲。示波器显示波形如下: 五、故障排除 在做“用74LS74(1片)构成二分频器、四分频器”时,连接上示波器后,发现通道二总显示的是类似于电容放电的波形,但表现出了二分频。反复排查问题均没有发现原因。最后换了一根连接示波器的线,便得到了理想的结果。 在示波器使用时想要用U盘保存电路波形,不会操作。后来在询问了同学之后才知道只需要按“print”就好。 六、心得体会 通过此次实验,我更深入地领悟了触发器的原理和用法,还复习了示波器的用法,还学会了如何保存示波器波形。

基本RS触发器逻辑功能测试

实训九基本R-S触发器功能测试 一、实训目的 1.通过实训熟悉基本RS触发器的逻辑功能与特点; 2.通过实训掌握基本RS触发器的测试方法; 3.通过实训熟悉异步输入信号RD、SD、RD、SD的作用; 4.通过实训掌握基本RS触发器的典型应用; 二、实训原理 基本RS触发器就是由两个与非门交叉耦合组成,它就是最基本的触发器,也就是构成其它复杂触发器电路的一个组成部分。当R D=S D=1时,两个与非门的工作都尤如非门,Q接至与非门G2的输入,使G2输出为Q;Q接至与非门G1的输入,使G1的输出为Q。从而使触发器维持输出状态不变。 三、实训仪器与设备 S303-4型(或其它型号)数字电路实训箱一只; SR8(或其它型号)双踪示波器一只; 直流稳压电源一台; 74LS00 二输入四与非门1片。 四、实训内容与步骤 1.两个TTL与非门首尾相接构成的基本R-S触发器的电路如图7-2-1所示逻辑电路。为 图9-1 基本R-S触发器功能测试 2.按表9-1所示的顺序在Sd、Rd两端信号,观察并记录R-S触发器Q端的状态,并将结果填入表9-1中 表9-1 3.Sd 4.Sd端接高电平,Rd端加脉冲。

5.令Sd=Rd,在Sd端加脉冲。 6.记录并观察2、3、4三种情况下,Q,Q n+1端的状态。从中总结基本R-S触发器的Q端的状态改变与输入端的关系。 五、实训思考题 试根据基本R-S触发器给定的输入信号波形画出与之对应的输出端的波形; 试写出基本R-S触发器的约束方程,并说明哪个就是复位端、哪个就是置位端? 六、训注意事项 接线时要注意电路图中各引脚的编号,连接时不要接错; 手动施加0、1输入电平时要注意开关动作的稳定性与可靠性,要避免开关的抖动; 用双踪示波器观察输出波形时,要注意选择一个较为合适的输入信号的频率。 实训十、计数器的功能测试 一、实训目的 1.掌握计数器的工作原理; 2.通过实训熟悉计数器的功能特点与典型应用; 3.通过实训掌握如何利用现有集成计数器来构成N进制计数器的方法。 二、实训原理 计数器就是一种含有若干个触发器、并按预定顺序改变各触发器的状态来累计输入脉冲个数的数字电路,被广泛应用于定时、分频及各种数字电路中。用JK触发器设计一个四位异步二进制加法器。CP接低频连续脉冲,输出接指示灯。观察指示灯的变化规律,写出状态图。 三、实训仪器与设备 1.S303-4型(或其它型号)数字电路实训箱一只; 2.SR8(或其它型号)双踪示波器一只; 3.直流稳压电源一台; 4.74LS00 二输入四与非门1片; 5.74LS160 十进制计数器1片; 6.74LS74 双D触发器2片; 7.74LS49 4线-七段译码器1片。 四、实训内容与步骤 六进制计数器,图10-1就是用74LS160实现六进制计数器的参考电路。当 Q3Q2Q1Q0=0111时,经过与非门所产生的零脉冲迅速使计数器清零,之后在输入CP脉冲的作用下,依次输出0000→0001→0010→0011→0100→0101→0000。输入低频连续脉冲,观察数码管的显示结果。

SQL实验七:存储过程和触发器的使用

( 二 〇 一 五 年 五 月 《数据库原理及应用》实验报告 学校代码: 10128 学 号: 201220905048 题 目:存储过程和触发器的使用 学生姓名:孙跃 学 院:理学院 系 别:数学系 专 业:信息与计算科学 班 级:信计12-2 任课教师:侯睿

一、实验目的 1.掌握存储过程的使用方法; 2.掌握触发器的使用方法。 二、实验内容 1.存储过程; 2.触发器。 三、实验程序及结果 1、存储过程 (1)创建存储过程,使用Employees表中的员工人数来初始化一个局部变量,并调用这个存储过程。 USE YGGL GO CREATE PROCEDURE TEST @NUMBER1 int OUTPUT AS BEGIN DECLARE @NUMBER2 int SET @NUMBER2=(SELECT COUNT(*)FROM Employees) SET @NUMBER1=@NUMBER2 END GO USE YGGL GO DECLARE @num int EXEC TEST @num OUTPUT SELECT @num (2)创建存储过程,比较两个员工的实际收入,若前者比后者高就输出0,否则输出1。USE YGGL GO CREATE PROCEDURE COMPA @ID1 CHAR(6),@ID2 CHAR(6),@BJ INT OUTPUT AS BEGIN DECLARE @SR1 FLOAT,@SR2 FLOAT SELECT @SR1=InCome-OutCome FROM Salary

EmployeeID=@ID1 SELECT @SR2=InCome-OutCome FROM Salary WHERE EmployeeID=@ID2 IF @ID1>@ID2 SET @BJ=0 ELSE SET @BJ=1 END USE YGGL GO DECLARE @BJ int EXEC COMPA '000001','108991',@BJ OUTPUT SELECT @BJ (3)创建添加职员记录的存储过程EmployeeADD。 USE YGGL GO CREATE PROCEDURE EmployeeAdd ( @employeeid char(6), @name char(10), @education char(4), @birthday datetime, @woekyear tinyint, @sex bit, @address char(40), @phonenumber char(12), @departmentID char(3) ) AS BEGIN INSERT INTO Employees VALUES(@employeeid,@name,@education,@birthday, @woekyear, @sex,@address,@phonenumber,@departmentID) END RETURN GO

实验七 触发器的仿真

实验七触发器的仿真 实验目的 1.用逻辑图和VHDL语言设计D锁存器,并进行仿真与分析; 2.参看Maxplus中器件7474(边沿D触发器)的逻辑功能,用VHDL语言设计边沿触发式D触发器,并进行仿真与分析。 3.参看Maxplus中器件7476(边沿JK触发器)的逻辑功能,用VHDL语言设计边沿触发式JK触发器,并进行仿真与分析。 1.D锁存器(D Latch) 实验设计思想 使能端EN输入为1时,输出Q与输入D值相同;使能端EN输入为0时,输出Q保持不变。 实验原理图 实验VHDL源程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY ex71 IS PORT( C,D:IN STD_LOGIC; Q,QN:BUFFER STD_LOGIC); END ex71; architecture bhv OF ex71 IS BEGIN PROCESS(C,D,Q) BEGIN IF (C='1') THEN Q<=D;ELSE Q<=Q;END IF; QN<=NOT Q; END PROCESS; END bhv; 实验波形仿真

Preset , Clear and Complementary Outputs) 实验原理图

实验VHDL源程序 library ieee; use ieee.std_logic_1164.all; entity ex72 is port( D,CLK,PR_L,CLR_L:IN std_logic; Q,QN:out std_logic); end ex72; architecture vhb of ex72 is signal PR,CLR:STD_LOGIC; BEGIN process(CLR_L,CLR,PR_L,PR,CLK) begin PR<=not PR_L;CLR<=not CLR_L; if(CLR AND PR)='1'then Q<='1';QN<='1'; elsif CLR='1' then Q<='0';QN<='1'; elsif PR='1'then Q<='1';QN<='0'; elsif (CLK'event and CLK='1')then Q<=D;QN<=not D; end if; end process; end vhb; 实验波形仿真

触发器功能测试实验报告 031210434

触发器功能测试 031210425 刘思何 一.实验目的 1.了解时钟脉冲的触发作用 2.掌握基本RS、JK、D触发器的逻辑功能、编写和使用 3.理解触发器所实现的状态转换功能 二.实验器件 开发板、计算机、vivado软件 三.实验内容 1.基本RS触发器的编写,验证并且生成IP核。连接电路图,在R,S两引脚输入不同的电平,测试输出端电平。 module rs_ff10( input s_n, input r_n, output q ); reg q; always@* begin case({s_n,r_n}) 2'b00 : q=1'bx; 2'b01 : q=1'b1; 2'b10 : q=1'b0; 2'b11 : q=q; endcase end endmodule 2.JK触发器的编写,验证并且生成IP 核。 module jk_ff10( input clk, output q, output q_n, input j, input k ); reg q; always@(posedge clk) begin case({j,k}) 2'b00 : q<=q; 2'b01 : q<=1'b0; 2'b10 : q<=1'b1; 2'b11 : q<=~q; default : q<=1'bx; endcase end assign q_n=~q; endmodule

先将s_n、r_n置于10或01状态,然后将其置于11状态,给j、k一个初始激励信号,随后一上一下拨动s_n、r_n的开关,输入一个时钟信号,观察q、q_n灯的亮灭情况。 3.D触发器的编写,验证 如JK触发器一样进行验证。 四.实验数据及分析 R触发器 V16 (s_n)V17 (r_n) U16 0 0 不定 0 1 暗 1 0 亮 1 1 保持上个状态

触发器逻辑功能测试及应用

实验六 触发器逻辑功能测试及应用 一、 实验目的: 1、 掌握基本RS JK 、D T 和T 触发器的逻辑功能 2、 学会验证集成触发器的逻辑功能及使用方法; 3、 熟悉触发器之间相互转换的方法。 二、 实验原理: 触发器:根据触发器的逻辑功能的不同,又可分为 三、 实验仪器与器件: 实验仪器设备:D2H +型数字电路实验箱。 集成块:74LS112 74LS74 74LS04 74LS08 四、 实验内容与步骤: 1、基本RS 虫发器逻辑功能的测试: CP J K S D R D Q n 下降沿 0 0 1 1 0 0 下降沿 0 1 1 1 0 0 下降沿 — 1 0 1 1 0 1 下降沿 1 1 1 1 1 3、D 触发器逻辑功能测试: D CP 3 R Q X X 0 1 0 X X 1 1 (2) D 触发器逻辑功能测试: Jh h W 5J I/II -皿: -- LL-LR'W LK 富 CP J K S D R D Q X X X 0 1 0 X X X 1 1 74LS02 74LS86

1 D CP S R? Q n | 上升沿 1 1 1 0 1 上升沿 1 1 o 1 4、不同类型时钟触发器间的转换: JK 转换为D 触发器: T 转换为JK 触发器: JK 转换为RS 触发器:RS 专换为JK 触发器: 五、实验体会与要求: 1 、根据实验结果,写出各个触发器的真值表。 2、 试比较各个触发器有何不同? 3、 写出不同类型时钟触发器间的转换过程。 Q n 1 JQ n KQ n Q n 1 D D KQ n JQ n Q Q > □' JK 转换为T 触发器: Q n 1 TQ T J K TQ n Q n 1 jQ n KQ n n 1 ___ n n n __ n Q DD(Q Q)DQ DQ D K; D J D 转换为JK 触发器: '_|B U 匚 K J P 口n n 111-1 最 |<存,1丘 1|」 _|」己尸 尺 .」 》匚 匸匚 L 丄 号=」 rl XJIl nil JL _____

相关文档