文档库 最新最全的文档下载
当前位置:文档库 › 篮球比赛记分牌

篮球比赛记分牌

篮球比赛记分牌
篮球比赛记分牌

数字逻辑课程设计(学年设计、学年论文)任务书

数字电路设计说明书

学院名称:

班级名称:

学生姓名:

学号:

题目:篮球比赛记分牌电路设计指导教师

姓名:

起止日期:2014.12.15--2015.1.10

第一部分:正文部分

一、选题背景

电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。

在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。而对于体育的兴趣我就选择了篮球记分牌这个题目,所以有这样一个机会的我真的很兴奋同时我也有机会提升自己在数字电路中理论的能力.

篮球比赛是根据参赛队在规定的比赛时间里得分多少来决定胜负的,因此,篮球比赛的计时计分系统是一种得分类型的系统。篮球比赛的计时计分系统由计时器、计分器等多种电子设备组成,同时,根据目前高水平篮球比赛要求,完善的篮球比赛计时计分系统设备应能够与现场成绩处理、现场大屏幕、电视转播车等多种设备相连,以便实现高比赛现场感、表演娱乐观众等功能目标。

随着科技的发展和人们生活水平的逐渐提高,各种有利于生活的电子产品开始逐步进入人们的生活。数字记分牌的出现则代替了记分员人工翻动记分牌累计积分的繁琐劳动,使各种比赛进入了更智能、更高效、更精准的人机互动时代,在各种比赛中具有重要意义。

本次试验所设计的电路具有计分、减分及显示的功能。当球队比赛得分时,用加法计分器通过控制分路加相应的分数。如果裁判误判了,可用减法计数器减掉误判的分数。设计要求记分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192D。74LS192D是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能。用三片计数器和三个半导体数码LED进行对分数的统计和显示。用三片74LS160D加法计数器组成二、三进制计数器,控制加2、3分的计数脉冲,实现加减一分、两分、三分计数功能。比赛结束时利用74LS192D 的高电平同步清零,重置比赛计分牌,以便于下次比赛计分。

二、相关知识

本次篮球比赛记分牌课程设计由组合逻辑电路和时序逻辑电路组成,包括,全加器、时钟脉冲、LED显示器和加减计数器。

基础元器件:

1、5V直流电源、5V1kHz交流电源如图2.1、2.1所示。

图2.1直流电源图图2.2交流电源

2、跳变开关(1个)、普通开关(3个)、单刀双掷开关(1个)如图2.

3、2.

4、2.5所示。

图2.3 开关电路图2.4开关电路图2.5开关电路

3、异或门、与非门(3个)、或门(1个)、非门(3个)如图2.6、2.7、2.8、2.9所示。

图2.6异或门电路图2.7与非门电路图2.8或门电路图2.9非门电路

4、计数器74LS192D(3片)如图2.10所示。

5、计数器74LS160D(3片)如图2.11所示。

图2.10 计数器74LS192D 图2.11计数器74LS192D

6、LED显示器(3片)如图2.3所示。

图2.3 LED显示器

芯片功能:

1、74LS192D

74LS192D是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能,UP为加计数端,DOWN为减计数端,A、B、C、D为计数器输入端,CLR为清除端,Q0、Q1、Q2、Q3为数据输出端。具体功能表如2.1所示。

2、74ls160D

74LS160D是常用的四位二进制可预置的同步加法计数器,他可以灵活的运用在各种数字电路。具体功能表如2.2所示。

候为异步复位功能。当CR=“1”且LD=“0”时,在CP信号上升沿作用后,74LS161输出端Q3、Q2、Q1、Q0的状态分别与并行数据输入端D3,D2,D1,D0的状态一样,为同步置数功能。

三、系统设计

本设计的框架图如图3.1所示。

图3.1 设计框架图

由设计框图可知,该电路总共分为三大部分,分别为加减置换部分、计分电路部分、显示部分,其中计分电路部分是由一分脉冲、二分脉冲、三分脉冲和分数清零电路组成,且由加减置换部分决定加分或减分,最终在显示器上显示结果。

1、脉冲部分

设计要求有一分、二分、三分的加减,就需有提供相应的脉冲的电路,这里可考虑用三个十进制计数器74LS160来分别设计一分、二分、三分的电路。分别产生一个脉冲、二个脉冲、三个脉冲,连接到加减计数器实现篮球得分加减一分、二分、三分。脉冲总电路图(如图3.2)、一脉冲电路图(如图3.3)、二脉冲电路图(如图3.4)、三脉冲电路图(如图3.5)。

图3.2脉冲总电路图

(1)一脉冲电路图

对一分键电路,当从0000变化到0011时,QA与QB通过与非门接到ENP,

RD则通过一个开关J3来控制,当到达0011的时候对一分键电路,当从0000变

化到0011时,QA与QB通过与非,经过QA与QB的与非门出来的为零,使它保

持0011的状态不变,QB输出的则是一个脉冲了。

图3.3一脉冲电路图

(2)二脉冲电路图

对二分键电路,当从0000变化到0011时,QA与QB通过与非门接到ENP,RD则通过一个开关J4来控制,当到达0011的时候,经过QA与QB的与非门出来的为零,使它保持0011的状态不变,QA输出的则是两个脉冲了。

图3.4 二脉冲电路图

(3)三脉冲电路图

对三分键电路,当从0000变化到0101时,QA与QC通过与非门接到ENP,RD则通过一个开关J5来控制,当到达0101的时候,经过QA与QC的与非门出来的为零,使它保持0101的状态不变,QA输出的则是三个脉冲了。

图3.5 三脉冲电路图

2.计分部分

设计要求记分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192D。74LS192D是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能。如图3.6计分电路。

三个74LS192D级联实现0-999的计数范围。74LS192D,UP为加计数端,DOWN 为减计数端,单刀双掷开关连接UP和DOWN实现加减置换计数功能,对三种脉冲的选用可用一个三输入或门来进行选择,以实现加减分数的控制。如图3.7加减置换,如图3.8脉冲选择。

图3.6 计分电路

图3.7 加减置换图3.8脉冲选择

3、显示部分

数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由a、b、c、d、e、f输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而

阴极分别由 a、b、c、d、e、f输入信号驱动,当某个输入为低电平时,相应的发光二极管点亮。由于计数器输出的是8421BCD码,数码管不能直接显示成数字,为了让数码管显示人们看懂的数字,就需要把计数器输出的8421BCD码转换成数码管显示的阿拉伯数字,这就需要译码器的翻译。

本设计采用DCD_HEX七段发光二极管译码显示器。DCD_HEX为共阴极LED数码管。显示器引脚从左到右依次为:4,3,2,1。该显示包含了译码功能,所以无需专门的译码器。正确的引脚接连方式如图3.9。

图3.9 显示器的连接

为实现甲乙双方各显示为3位数,所以实验中的显示电路如图3.10 显示电路。

图3.10 显示电路

4.分数清零部分

该部分可运用74LS192D高电平清零的功能来实现。因只在需要时进行清零,故可安置一开关。这里可选择跳变开关,可省去清零后让其重新归位的操作,电路图如图3.7清零电路。

图3.11 清零电路

5、篮球记分牌系统总图(图3.12)以及系统操作说明

图3.12 篮球计分牌系统总图(左为甲方计分,右为乙方计分)

操作说明:

键盘操作,键盘0键为系统清零按钮,键盘空格键为加减置换按钮,键盘A、B、C键为甲方计分按钮,分别实现甲方的一分、二分、三分计分,键盘1、2、3键为乙方计分按钮,分别实现乙方的一分、二分、三分计分。

注:按空格Space键,将开关置到加,按两下键盘1键加一分,按两下键盘2键加二分,按两下键盘3键加三分。再按空格Space键,将开关切换到减,按两下键盘1键减一分,按两下键盘2键减二分,按两下键盘3键减三分。(按的两下不能连续按,中间应稍作停顿。)

四、仿真调试与分析

打开Multisim 10软件,将电路进行仿真调试。以确定电路既能能正常运行,又能满足题目的设计要求。

1、甲乙双方各显示为3位数(图4.1)

图4.1 分数三位数显示

2、系统清零,开始计分(图4.2)

操作:按下键盘0键。

图4.2 系统清零

3、甲方进球得一分(图4.3)

操作:按space键,调节电路为加计分,按键盘A键两次。

图4.3 甲方得一分

4、乙方得3分(图4.4)

操作:按space键,调节电路为加计分,按键盘3键两次。

图4.4 乙方得3分

5、现比方40:40,由于裁判误判,乙方加三分,甲方减三分(图4.5)

操作:按space键,调节电路为加计分,按键盘3键两次;按space键,调节电路为减计分,按键盘键C两次。

图 4.5计分电路

6、此场比赛结束,继续下一场比赛,记分牌清零(图4.6)

操作:按键盘0键。

图4.6 清零图

第二部分:参考文献

[1]阎石.数字电子技术基础[M].北京:高等教育出版社,2006.

[2]康华光,陈大钦.电子技术基础[M]:数字部

[3]张亚君,陈龙,牛小燕.Multisim在数字电路与逻辑设计实验教学中的应用[J].第105页实验技术与管理.2008 [4]聂典.multisim10计算机仿真在电子电路设计中的应用[M].北京:电子工业出版社,2008-1.

[5]周忠.数字电子技术(工业和信息化高职高专“十二五”规划教材立项项目)

[6](美)弗洛伊德.数字电子技术.电子工业出版社

[7]房永钢,王树红.数字电子技术.北京大学出版社

[8]康恩顺.电工与电子技术基础.东北大学出版社

[9]许晓华.国家电工电子教学基地系列教材:Multisim 10计算机仿真及应用.清华大学出版社

[10]王廷才.电工电子技术Multisim10仿真实验第2版.

[11](美)何尔德斯沃斯著,李仁发,肖玲,吴强译.数字逻辑设计(第四版)——国外著名高等院校信息科学与技术优秀教材 .人民邮电出版社 . 人民

邮电出版社

学生签名:填表日期:年月日

第三部分:指导教师评语

第四部分:成绩评定

指导教师签名:填表日期:年月日

篮球比赛数字计分器

学年论文 (课程论文、课程设计) 题目:篮球比赛数字计分器 作者: 所在学院:信息科学与工程学院 专业年级:电信09-1 指导教师: 职称:讲师 2011年6月25日

摘要: 数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路或系统。根据数字集成电路中包含的门电路或元、器件数量,可将数字集成电路分为小规模集成(SSI)电路、中规模集成MSI电路、大规模集成(LSI)电路、超大规模集成VLSI电路和特大规模集成(ULSI)电路。 应用的仿真工具Multisim是美国国家仪器(NI)有限公司推出的以Windows 为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE 仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 对应篮球比赛规则记分的系统的要求,篮球记分有1分、2分和3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使得计数器对分数进行统计,这需要三个脉冲分路。电路要具有加分、减分及显示的功能。综合应用数字电路初步设计,Multisim仿真和DXP的初步应用 关键词: 篮球计分器设计Multisim仿真DXP 引言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。

篮球比赛记录表(CBA专用)

篮球比赛记录表 甲队:乙队: 比赛名称:日期:时间:主裁判员: 比赛编号:比赛地点:副裁判员:○1○2 甲队:累记分 暂停:全队犯规甲乙甲乙甲乙甲乙上半时① 1 2 3 4 ② 1 2 3 4 1 1 41 41 81 81 121 121 下半时③ 1 2 3 4 ④ 1 2 3 4 2 2 42 42 82 82 122 122 决胜期 3 3 43 43 83 83 123 123 队员号码队员姓名 号 码 上场 队员 个人犯规 4 4 44 44 84 84 124 124 1 2 3 4 5 5 5 45 45 85 85 125 125 4 6 6 46 46 86 86 126 126 5 7 7 47 47 87 87 127 127 6 8 8 48 48 88 88 128 128 7 9 9 49 49 89 89 129 129 8 10 10 50 50 90 90 130 130 9 11 11 51 51 91 91 131 131 10 12 12 52 52 92 92 132 132 11 13 13 53 53 93 93 133 133 12 14 14 54 54 94 94 134 134 13 15 15 55 55 95 95 135 135 14 16 16 56 56 96 96 136 136 15 17 17 57 57 97 97 137 137 教练员:18 18 58 58 98 98 138 138 助理教练员:19 19 59 59 99 99 139 139 乙队:20 20 60 60 100 100 140 140 暂停:全队犯规21 21 61 61 101 101 141 141 上半时① 1 2 3 4 ② 1 2 3 4 22 22 62 62 102 102 142 142 下半时③ 1 2 3 4 ④ 1 2 3 4 23 23 63 63 103 103 143 143 决胜期24 24 64 64 104 104 144 144 队员号码队员姓名 号 码 上场 队员 个人犯规25 25 65 65 105 105 145 145 1 2 3 4 5 26 26 66 66 106 106 146 146 4 27 27 67 67 107 107 147 147 5 28 28 68 68 108 108 148 148 6 29 29 69 69 109 109 149 149 7 30 30 70 70 110 110 150 150 8 31 31 71 71 111 111 151 151 9 32 32 72 72 112 112 152 152 10 33 33 73 73 113 113 153 153 11 34 34 74 74 114 114 154 154 12 35 35 75 75 115 115 155 155 13 36 36 76 76 116 116 156 156 14 37 37 77 77 117 117 157 157 15 38 38 78 78 118 118 158 158 教练员:39 39 79 79 119 119 159 159 助理教练员:40 40 80 80 120 120 160 160 记录员: 助理记录员:计时员:24″计时员:得分:第一节:甲乙 第二节:甲乙 第三节:甲乙 第四节:甲乙 加时赛:甲乙

篮球数字记分牌

数字电子技术课程设计篮球比赛数字记分牌 院系:机电工程 专业:电子信息工程 年级(班级):2013级(2)班 姓名:叶庆缘 学号: 20134082035 指导教师:陈丽华 完成日期: 2015 年 3 月 21日 成绩:

目录 1 引言 (1) 2 设计原理与方案 (1) 2.1 设计任务与要求 (1) 2.2 设计方案 (1) 3 电路设计与调试 (2) 3.1 模块设计 (2) 3.1.1 计数\编码电路 (2) 3.1.2 译码显示电路 (2) 3.1.3 开关控制输入电路 (2) 3.2 单元电路的设计 (3) 3.2.1 计数\编码电路的设计 (3) 3.2.2 译码\驱动\显示电路设计 (4) 3.2.3 信号输入控制电路设计 (6) 4 结论 (6) 5 设计心得体会 (6) 参考文献 (7) 附录A 整体电路图 (8) 附录B 元件清单 (9) 附录C 实物图 (10)

篮球比赛数字记分牌 1 引言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 而对于体育的兴趣我就选择了篮球记分牌这个题目,所以有这样一个机会的我真的很兴奋同时我也有机会提升自己在数字电路中理论的能力. 2 设计原理与方案 2.1 设计任务与要求 2.1.1设计篮球比赛数字计分牌,以达到以下要求: 1.分别记录两队得分情况; 2.进球得分加2分,罚球进球得分加1分; 3.纠正错判得分减2分或1分; 4.分别用三个数码管显示器记录两队的得分情况。 2.2 设计方案 1、篮球比赛数字计分牌要求能分别记录两队的得分的情况,可清零重新开始比赛,所以设置一开关用来复位。 2、计分牌由百、十、个位组成,用LED数码管(共阴)显示,配用相应译码器CD4511。 3、分数的个位与十位计数用十进制加/减计数器(CD40192)来实现。百位由JK触发器组成只呈现1或不显示状态,即达到100分前只有个位与十位显示,计分系统最多只有199分。

篮球赛记分牌设计分析

篮球赛记分牌设计 前言 篮球是一项充满乐趣的运动,打篮球不仅可以强身健体,而且能增进队友之间的相互信任。现在篮球普及率已经很高,打篮球不仅仅是专业运动员的运动,也是普通老百姓的活动,不管你是走在学校里,还是公园里,或者是小区里,都能看到篮球场,都能看到打篮球的人,篮球已成为我们大部分人生活里不可缺少的一部分,每天都能听到有人在谈论篮球,说说自己喜欢的NBA巨星……因为喜欢篮球,所以打篮球,时不时会来场激烈的比赛,但是传统的记分方式如粉笔或记计分人员手动翻动记分牌给我们带来诸多不便。随着社会的发展、科学技术的进步以及人们生活水平的不断提高,各种电子产品开始进入人们的生活,使人们的生活更加便利。篮球赛记分牌设计的实现使得我们轻轻松松几个按钮开关就能记下分数,让我们感受到科技给我们带来的巨变。 篮球比赛的胜负是由两支队伍在规定时间里得分多少来决定。由于比赛的不可重复性和绝对的公平性,决定了篮球赛记分牌系统是一个实用性很强、可靠性要求极高的以计算机技术为核心的电子服务系统。这种设备是篮球比赛中不可或缺的一种电子设备,篮球赛记分牌系统设计是否合理,关系到整个篮球比赛系统运行的稳定和可靠,并直接影响到整个篮球比赛的顺利进行。篮球赛记分牌系统是篮球比赛的重要工程项目,是关系到比赛成败的关键工程,这种记分牌还可以运用到其他体育竞赛,如足球、羽毛球。 1 系统总体设计方案 随着单片机[1]广泛应用于各领域,许多用单片机作控制的球赛记时记分系统也随之产生,例如用单片机控制LCD液晶显示器记时记分器和用单片机控制LED七段显示器计时计分器等。 本设计根据所学的C语言基础知识和一些编程思想,对所需要的一些硬件在市场上进行了调查比较,选择了比较简单,容易实现的51单片机,结合运用C语言思想进行方案设计,使用keil uVision软件编写程序。51单片机内部的定时器/计数器进行中断可以结合软件延时实现计时。采用的硬件核心是89C51芯片,其内部采用Flash ROM,具有4KB ROM存储空间,能与3V的超低压工作,电路设计中由于不具备ISP在线编程技术,可以运用STC-ISP烧入程序。这个设计实现的功能是记下双方比分,随时显示,能够随时更改双方比分,能够调整比赛的时间,还有暂停时间,能够在双方更换比赛场地时交换双方比分,时间走完时能发出比赛终止信号。

篮球记分牌方案

记分牌方案设计 前言: 鉴于院里的篮球赛没有计分牌,决定做一个篮球计分牌使院篮球赛更顺利的进行。本次设计的篮球计时计分器,电路简单,而且易懂,使操作使用者使用非常方便,成本较低,灵敏可靠,计录准确,连接简单,具有非常高的实用价值。 设计任务要求: 本设计以基本篮球规则为依据,可对篮球比赛实现实时控制。设计主要为记分器部分,记分器记录两队的积累分数。两位数码管显示比分,可加可减。针对篮球比赛中有得1、2、3分,有时还会出现加分错误的情况,本人设计的简易篮球赛计分器主要通过按键实现以下功能:能够进行加1、2、3分;能够进行减1分,并通过2个数码管进行显示。 功能分析: 根据前面的设计的任务的要求,本设计任务主要具有以下几个模块:单片机模块;按键模块;数码显示模块。本设计由单片机模块部分植入主程序,通过按键部分进行加1、2、3分以及减1分操作,最终在2个LED数码管上显示结果。本系统采用单片机98S51作为本设计的核心元件。利用七段共阴极LED数码管作为显示器件。在此设计中共接入4个七段共阴极LED显示器,用于计录甲、乙两队的分数,每队2个LED 显示器显示范围可达到0~99分,足够满足赛程要求。其次,为了配合计时器和计分器校正、调整时间和比分,我们在设计中设立了个按键。用于改变甲、乙两队的分数. 关键词:单片机,计分, 报警,显示 材料: 系统硬件主要是由单片机89S51、计分显示电路和按键开关三个部分组成。 本系统在设计过程中主要选取了以下一些器件: 单片机: 89S51。 显示器件: 七段共阴LED显示器。 按键: 独立式按键。 其中1D~7D为信号输入,其中1Q~7Q为信号输出COM为公共端,GND为接地端。显示部分: 本设计显示部分采用的是7段共阳LED数码管显示,LED就是发光二极管,相对LET来说它的优势在于节能环保,保护视力,使用寿命长等优点。数码管实际上是由7个发光管组成8字形构成的,加上小数点就是8个。我们分别把他命名为A,B,C,D,E,F,G,H,如图3所示。

篮球三对三比赛规则及记录表

三对三挑战赛规则 一、比赛场地及裁判、记录人员 1-1场地:半个标准的篮球场地(14×15米) 1-2裁判、记录人员:设2名裁判员和1名记录员。 1-3裁判人员:比赛设2名裁判员(主裁判员和副裁判员),两名裁判员对场上违反规则的行为都有权作出宣判,如发生矛盾,主裁判员是终决人员,并负责在记录表上签字。副裁判员兼管记20秒违例。 1-4记录员职责:记录员兼管计时、记分。记录两队累积的分数(包括投篮和罚球的得分)、全队及个人犯规次数、以及比赛时间并按照规则要求宣布比赛进行的时间和比分。 二、比赛时间及参赛人员 2-1 运动员人数:比赛双方可报名5人,上场比赛队员为三人。2-2 比赛时间:分上下两节,每节15分钟,上节与下节之间休息5分钟。比赛进行到8分钟和13分钟时,记录员各宣布一次时间。 2-3进攻时间:每队每次进攻时间为20秒,20秒内球未接触篮框为违例,交换发球权;20秒内球接触到篮框后从新计算计进攻时间。 2-4暂停:每节比赛双方可请求暂停1次暂停时间为20秒 2-5停表条件:比赛中除在罚球、暂停、球员受伤及比赛结束等情况下停止计时表外,其余情况均不停表。

三、比赛开始及发球 3-1比赛开始:双方以掷硬币的形式决定发球权,然后在发球区掷界外球开始比赛;上节获发球权的队,下下不再获发球权,由对方队在发球区掷界外球开始比赛。 3-2 发球区:中圈不在场地中的半圆叫做发球区,发球区的地面(包括线)算界外。 3-3 发球:在发球区掷界外球算做发球,无底线及边线发球。 四、人员替换 4-1参赛人员可随时在记录员处要求替换场上队员,记录员在记录后,死球情况下吹哨提示裁判员有人员替换,裁判员做出允许替换手势后,场上队员与替补队员进行替换,裁判员检查场上人员数量准确后,开始比赛。 4-2出现队员受伤流血须立即替换受伤队员进行处理后经裁判员检查无妨碍时方可允许作为替换队员。 4-3、罚出场的队员任何情况下不得再重新替换上场。暂停比赛时可随时替换人员,但需向记录员报告。 五、攻守转换 5-1、每次投篮命中后都由对方从发球区发球开始比赛。 5-2、有交换球权的情况,如违例、犯规(非得分犯规或未到罚球次数)、界外球均从发球区发球开始比赛,发球队员必须将球掷给裁判员。

篮球计分器设计报告

课程设计报告 课程名称:数字电路课程设计 设计题目:篮球比赛数字计分器 院(部):机械与电子工程电学院 专业:电气信息类 学生姓名: 曾吴广 学号: 2011211006 起止日期: 2013年6月7日-2013年6月22日 指导教师: 李玲纯华贵山

一、设计内容与要求: 设计一个篮球比赛记分显示器 基本要求: (1)电路具有加1分、加2分、加3分功能。 (2)电路具有减分功能。 (3)显示总分功能,用三位LED显示器,最高可现实999。 (4)每次篮球比赛后显示器可清零。 二、设计方案 对应篮球比赛规则的系统的要求,篮球记分有1分、2分、3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使计数器对分数进行统计,这需要三个脉冲分路。 电路要具有计分、减分及显示的功能。当球队比赛得分时,用加法计分器通过控制分路加相应的分数。如果裁判误判了,可用减法计数器减掉误判的分数。用三片计数器和三个半导体数码LED进行对分数的统计和显示。 电路框图 三、电路的设计记分电路 加 减 置 换 二 分 脉 冲 显 示 显 示 分 数 清 零 一分脉冲三分脉冲

1、记分部分 设计要求记分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192。74LS192是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能,具体功能表如下: 故计分部分电路设计如下: 2、显示部分 数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由a、b、c、d、e、f、g输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而阴极分别由a、b、c、d、e、f、g输入信号驱动,当某个输入为低电平时,相应的发光二极管点亮。

EDA篮球比赛电子记分牌设计

长沙学院课程设计说明书 题目篮球比赛电子记分牌设计

目录 一、课题名称?错误!未定义书签。 二、设计内容?错误!未定义书签。 三、设计思路?错误!未定义书签。 1、整体设计思路: ............................................................................................ 错误!未定义书签。 2、整体设计流程:?错误!未定义书签。 四、设计说明 (2) 1、设计程序?3 2、?引脚分配设置: ....................................................................................... 错误!未定义书签。 3、?功能描述 ................................................................................................... 错误!未定义书签。 五、设计心得....................................................................................................... 错误!未定义书签。 六、参考文献?错误!未定义书签。

一、课题名称 篮球比赛电子记分牌设计 二、设计内容 设计一个篮球比赛记分牌,使用4位数码管显示倒计时的分钟、秒钟值;2位数码管显示A方得分;2位数码管显示B方得分;使用LED灯作为上、下半场的标志;单次加分值包括1分、2分和3分;半场时间到输出3秒的声光提示;可进行比赛暂停、比赛加时等操作;终场时间到输出5秒的声光提示。 三、设计思路 1、整体设计思路: 根据设计内容,可将设计将分为五个模块来设计:分频计数模块、时间模块、加分模块、动态扫描显示模块、LED灯模块。 2、整体设计流程: (1)、分频计数模块:通过分频模块,将试验箱自带的50MHZ的频率分频得到1MZ 以及1HZ的频率 (2)、时间模块:将计时器的分钟、秒钟,通过借位的方式设计成40分钟的倒计时时 钟 (3)、加分模块:通过拨盘开关的选择,输入A、B队的比赛得分 (4)、动态扫面显示模块:通过1MHZ的频率,将时间以及?A、B队的比赛得分同时扫 描显示在数码管上 (5)、LED灯模块:对上下场的显示,以及比赛结束的灯亮延长显示 四、设计说明

篮球比赛数字记分牌的设计方案

目录 1 课程设计题目`内容与要求……………………… 设计内容 具体要求 2系统设计………………………… 设计思路 系统原理 3 系统实现…………………………………………… 4 系统仿真……………………………………………5硬件验证(操作)说明……………………………… 6 总结…………………………………………………

7参考书目…………………………………………… 一、课程设计题目、内容与要求 课程设计的题目:篮球比赛记分牌 课程设计内容: 1、根据比赛实际情况记录两队得分,罚球进的1分,进球的2分; 2、记分牌要具有纠错功能,能减1分、2分功能; 3、利用3个译码显示管输出比赛的分; 二、系统设计 设计思路: 篮球比赛记分牌是记录两队比赛的得分情况,并能够进行纠错功能;根据系统设计的要求,篮球记分牌的电路原理框图如下:

系统原理与设计说明 系统各个模块的功能如下: 1、D触发器电路模块实现翻转功能当出错时,输出为1,使电路回到上一个正确的状态。 2、4为二进制全加器电路模块实现加法计数功能。 3、移位寄存器电路模块保存比赛两队得分情况的4个相邻状态,出错时将调用上一个正确状态。 4、二选一数据选择器电路模块用来控制移位寄存器 5、 LED数码管驱动电路模块 三、系统实现 各模块电路的源程序如下: 1、D触发器电路模块及程序:

set输入(Q=1),清零应该可以用复位键reset吧(Q=0)。library ieee; use entity sync_rsdff is port(d,clk : in std_logic; set : in std_logic; reset: in std_logic; q,qb : out std_logic); end sync_rsdff; architecture rtl_arc of sync_rsdff is begin process(clk) begin if (clk'event and clk='1') then if(set='0' and reset='1') then q<='1'; qb<='0'; elsif (set='1' and reset='0') then q<='0'; qb<='1'; else

篮球记分牌verilog设计

数字逻辑设计及应用课程设计报告 姓名: 学号: 选课号:79 设计题号:23

一.设计题目 篮球比赛数字计分牌 二.设计要求 1.分别记录两队得分情况; 2.进球得分加2分,罚球进球得分加1分; 3.纠正错判得分减2分或1分; 4.分别用三个数码管显示器记录两队的得分情况。 三.设计过程 (一)设计方案 1.模块设计 题目中要用三个数码管来记录两队的得分情况,本文采用输出为8421bcd码,外接译码器和数码管的方式来实现。 先设计一个带有进位(co)和借位(ci)输出的模块pad,输出端(num)输出4位8421bcd码外接译码器和数码管,pad模块还带有加一输入端(a1),加二输入端(a2),减一输入端(d1),减二输入端(d2)。输入端与开关相接,操作者按下开关即给该端口一个脉冲信号,各输入端口由上升沿触发。如果操作者同时按下多个端口,输出端口将保持原来的信号不变。pad模块功能图见图1-1。 图1-1 pad模块输入输出端口及功能

然后将三个相同的pad模块进行级联,构造为新的模块numberpad,从而得到带有三个数码管的篮球记分牌。甲乙两队都将分别使用这个记分牌。级联图见图1-2。 图1-2 pad模块级联图 2.模块内部的算法流程 每个模块有四个输入端口a1,a2,d1,d2来进行触发,触发事件太多,因此构造rem=a1|a2|d1|d2作为新的触发信号。因此,只要a1,a2,d1,d2中任意一个按键被按下,将会发出一个脉冲,rem也就会产生一个脉冲。但是可能出现多个按键同时按下的情况,这样会产生冲突。所以在always语句块中,进行判断,看是否a1,a2,d1,d2中只有一个处于高电平,若同时处于高电平,则输出维持原来的值不变。 判断完端口a1,a2,d1,d2中哪一个输入了以后,就要进行加1,加2,减1,减2的操作。 加1分为以下情况:(1)若num已经计数到9即1001,再加1则num应变为0000,进位端co输出1;(2)若num不为9,则直接加1,co输出0。 加2分为以下情况:(1)若num已经计数到8即1000,再加2则num应变为0000,进位端co输出1;(2)num已经计数到9即1001。再加2则num应变为0001,进位端co 输出1;(3)若num不为8或9,则直接加2,co输出0/。 减1分为以下情况:(1)若num此时为0,再减1则num应变为9即1001,借位端ci 输出1;(2)若num不为0,则直接减1,ci输出0。 减2分为以下情况:(1)若num此时为0,再减2则num应变为8即1000,借位端ci 输出1;(2)若num此时为1,再减2则num应变为9即1001,借位端ci输出1;(3)若num不为0或1,则直接减2,ci输出0 算法流程图见图1-3

篮球比赛数字计分牌

烟台南山学院 数字电子技术课程设计题目篮球比赛数字计分牌 姓名: 所在学院:计算机与电气自动化学院 所学专业:电气工程及其自动化 班级电气工程1006班 学号 指导教师 完成时间: 2012年12月

内容摘要 随着社会的发展、科技的进步以及人们生活水平的逐步提高,各种方便于生活的电子产品开始进入人们的生活。电子计时计分系统可取代传统记分员手动翻动记分牌的工作模式,对比赛的比分和时间进行快速采集记录,加工处理,传递利用的信息系统。根据不同运动项目的不同的比赛规则要求,体育比赛的记分系统包括测量类,评分类,命中类制胜类,得分类等多种类型。 电子计时计分器系统是一个负责各类体育竞赛技术支持系统前沿(比赛场地)的数据采集和分配的专用系统,它负责各类体育竞赛结果、成绩信息的采集处理、传输分配,即将比赛结果数据通过专用技术接口、界面、协议,分别传送给裁判员、教练员、计算机信息系统、电视转播与评论系统、现场大屏幕显示系统等。 由于体育竞赛的不可重复性,决定了电子计时计分系统是一个实用性很强、可靠性要求极高的以计算机技术为核心的电子服务系统。因此,电子计时计分系统自身组成独立的采集、分配、评判、显示发布系统,做到所以信息的实时、准确、快捷、权威。电子计时计分设备是各类体育竞赛中不可缺少的电子设备,电子计时计分系统设计是否合理,关系到整个体育比赛系统运行的稳定和可靠,并直接影响到整个体育比赛的顺利进行。电子计时计分系统是体育竞赛的重要工程项目,是关系到竞赛成败的关键工程,每一个单项体育竞赛都具有对应的专门电子计时计分工作系统。这些工作系统各不相同,但都是各单项成绩处理系统的前级数据采集系统,除了提供计算机成绩处理系统竞赛数据以外,还需要在部分项目中连接电视转播等其他工作系统,电子计时计分需要极高的工作稳定性和可靠性。由于LED显示牌是目前公共场所中信息发布的主要载体,因此该系统也可拓展使用到会展中心、广场、银行、市场等公共场所及车站机场等交通运输行业。尤其是一些发布数据并需要经常手动更新的领域。因此,该项目有着重要意义。 关键词:电子计时计分 LED数码管篮球记分数电

篮球比赛数字记分牌

广西科技大学(筹) 课程设计说明书 课题名称篮球比赛数字记分牌 系别职业技术教育学院 专业电子信息工程 班级电子Z102班 学号孙思(201002203092) 池亮(201002203090) 李友军(201002203079)学生姓名孙思池亮李友军 指导教师廖贵成

摘要: 随着社会的发展、科技的进步以及人们生活水平的逐步提高,各种方便于生活的电子产品开始进入人们的生活。数字记分牌就是这样的一款电子产品,它的出现代替了记分员手动翻动记分牌积分的繁琐劳动,使各种比赛进入了智能、高效、精准的人机互动时代,在体育比赛中具有重要意义。 我们本次设计的项目是篮球比赛数字记分牌,用于对篮球比赛的比赛双方实时积分。基于篮球比赛的特点,我们选取了专门的设计方案,用vhdl设计数字电路,用三个LED数码管显示比赛一方的得分,记分员可根据现场比赛得分情况实时记录各队的得分,并及时反馈到LED数码管上。 关键词:篮球比赛数字记分牌vhdl LED数码管

目录 一、前言 (4) 二、设计要求 (4) 三、设计内容 (4) 1、基本原理 (4) 2、功能描述 (5) 3.程序设计 (5) 3.1 vhdl源代码...................................................................................................... 5-8 3.2 vhdl源代码分析 .......................................................................................................... 8-9 4、仿真结果 ................................................................................................................................. 9-10 四、总结............................................................................................................................. 11-12 五、参考文献 (12)

篮球赛电子计时记分牌

摘要 篮球赛电子计时记分牌是根据篮球比赛特点设计的独立的信息采集、分配、评判和显示的系统,能实现比赛时间和分数的实时、准确、快捷处理和呈现。本设计原理简单,主要采用AT89C52单片机作为微控制器,集合矩阵键盘、数码管和LED指示灯,通过键盘控制记分牌的工作状态,通过数码管和LED指示灯来反馈信息。本设计在充分考虑了篮球比赛的实际情况基础上设计,具有友好的人机交互接口,并且可以防止人为误操作造成的不良后果,具有一定的实用性。 关键字:单片机;AT89C52;计时记分牌;篮球比赛

目录 1 智能仪器仪表的简介 (1) 1.1智能仪器仪表简介 (1) 2 系统设计简介 (2) 2.1 篮球赛电子计时记分牌简介 (2) 2.2 设计要求 (2) 2.3 设计方案论证 (2) 3.系统硬件设计 (3) 3.1单片机的选型 (3) 3.2 复位和振荡电路的设计 (3) 3.3键盘输入模块的设计 (4) 3.4 信息提示模块的设计 (4) 3.5 系统总体电路 (5) 3.6 系统所用元器件 (7) 4 设计语言及软件介绍 (8) 4.1 汇编语言介绍 (8) 4.2 wave6000软件介绍 (8) 5 系统软件设计 (9) 5.1 软件系统总体设计方案 (9) 5.2软件系统流程图 (9) 5.2.2按键检测子程序设计 (12) 5.2.3 数码管显示子程序设计 (12) 5.3 控制源程序 (13) 5.3.1汇编程序 (13) 5.4 调试及仿真 (24) 结论 (26) 参考文献 (27)

1 智能仪器仪表的简介 1.1智能仪器仪表简介 仪器仪表(英文:instrumentation)仪器仪表是用以检出、测量、观察、计算各种物理量、物质成分、物性参数等的器具或设备。真空检漏仪、压力表、测长仪、显微镜、乘法器等均属于仪器仪表。广义来说,仪器仪表也可具有自动控制、报警、信号传递和数据处理等功能,例如用于工业生产过程自动控制中的气动调节仪表,和电动调节仪表,以及集散型仪表控制系统也皆属于仪器仪表。

篮球赛计分表

累积分 A B A B A B A 1 1 41 41 81 81 121 1 2 2 42 42 82 82 122 1 3 3 43 43 83 83 123 1 证件号码队员号 上场 队员 犯规 4 4 44 44 84 84 124 1 12345 5 5 45 45 85 85 125 1 6 6 46 46 86 86 126 1 7 7 47 47 87 87 127 1 8 8 48 48 88 88 128 1 9 9 49 49 89 89 129 1 10 10 50 50 90 90 130 1 11 11 51 51 91 91 131 1 12 12 52 52 92 92 132 1 13 13 53 53 93 93 133 1 14 14 54 54 94 94 134 1 15 15 55 55 95 95 135 1 16 16 56 56 96 96 136 1 17 17 57 57 97 97 137 1 教练员18 18 58 58 98 98 138 1助理教练员19 19 59 59 99 99 139 1 20 20 60 60 100 100 140 1 21 21 61 61 101 101 141 1 22 22 62 62 102 102 142 1 23 23 63 63 103 103 143 1 24 24 64 64 104 104 144 1 证件号码队员号 上场 队员 犯规25 25 65 65 105 105 145 1 1234526 26 66 66 106 106 146 1 27 27 67 67 107 107 147 1 28 28 68 68 108 108 148 1 29 29 69 69 109 109 149 1 30 30 70 70 110 110 150 1 31 31 71 71 111 111 151 1 32 32 72 72 112 112 152 1 33 33 73 73 113 113 153 1 34 34 74 74 114 114 154 1 35 35 75 75 115 115 155 1 36 36 76 76 116 116 156 1

基于单片机的篮球比赛电子记分牌-仿真图+完整程序

基于单片机的篮球比赛电子记分牌-仿真图+完整程序

————————————————————————————————作者:————————————————————————————————日期:

NEPU 课程设计 课程单片机课程设计 题目基于单片机的篮球比赛电子记分牌 院系电气信息工程学院测控系 专业班级测控技术与仪器**-*班 学生姓名 **** 学生学号 ************ 指导教师 **** **** 201*年* 月*日

NEPU课程设计任务书 课程单片机课程设计 题目基于单片机的篮球比赛电子记分牌 专业测控技术与仪器姓名**** 学号************* 一、任务 以AT89C51单片机为控制核心,遵循篮球比赛规则,设计一款具有记录比赛分数,比赛剩余时间等基本功能的电子记分牌。 二、设计要求 [1] 要求能实时记录比赛的分数、剩余时间。 [2] 通过对AT89C51单片机的编程,实现比分和剩余时间的显示。 [3] 设计篮球24秒定时器、剩余5秒时发出提示音。 [4] 提交设计报告、电路图及程序源码。 三、参考资料 [1] 张毅刚.单片机原理及应用[M].北京:高等教育出版社,2004. [2] 刘润华,刘立山.模拟电子技术[J].山东:石油大学出版社,2003. [3] 周润景,张丽娜等.PROTEUS入门教程[M].北京:机械工业出版社,2007. [4] 尹仕.电工电子制作基础[M].武汉:华中科技大学出版社,2008. [5] 彭介华.电子技术课程设计指导[J].北京:高等教育出版社,1997. 完成期限 指导教师 专业负责人****** 201*年*月** 日

篮球考试评分标准

篮球 一、测试指标与所占分值 二、测试方法与评分标准 (一)专项素质 1. 单脚助跑摸高 (1)测试方法:单脚助跑起跳摸高,摸最高点计其成绩,每人测两次,计其中一次最佳成绩(精确到厘米)。助跑距离和助跑方法不限。 (2)评分标准(表6-1) 表6-1 单脚助跑摸高评分表

(二)专项技术 1. 投篮 (1)测试方法:以篮圈中心投影点为中心,5.5米为半径画弧,考生在弧线外进行1分钟自投自抢,投篮方式不限,记投中次数。每人测两次,记其中一次最佳成绩。投篮必须在弧线外,不准踩线,踩线投篮投中无效。 (2)评分标准(表6-2) 表6-2 投篮评分表 男:成绩(个) 1 987654321 分值 2 1 8 1 6 1 4 1 2 1 8642 女:成绩 (个) 98—7654321 2. 多种变向运球上篮 (1)测试方法:考生在球场端线中点站立,面向前场,用右手运球至①处,以考生开始运球时球落地开表,在①处做背后运球变向,换左手向②处运球,至②处做左手后转身运球变向,换右手运球至③处,右手跨下运球后左手上篮。球中篮后方可用左手运球返回③处,做背后运球,换右手运球至②处做右手后转身运球变向,运球至①处左手跨下运球后右手上篮。球中后做同样动作再重复一次,回到原处停表。(如图6-1所示)篮球场地上的标志①、②、③为以40厘米为半径的圆圈,①、③到端线的距离为6米、到边线的距离为2米。②在中线上并到中圈中心距离为2.8米。要求考生在考试时必须任意一脚踩到圆圈线或圆圈内地面,方可变向,否则视为无效,不予计分;运球上篮时球须投中,投不进可进行补投,若球不中仍继续带球前进,视为无效,也不予计分。每人测试二次,记其中一次最佳成绩。

篮球记分牌数电课程设计

数字逻辑电路 课程设计报告 院(部):信息工程学院 专业:通信工程 班级:通信二班 姓名:陈俊达 学号:20150303203 成绩: 指导老师:李海霞 开课时间:2016-2017 学年 2 学期

课程设计任务书 一、设计题目 篮球记分牌 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、要求完成的主要功能 1、自选器件,要求甲乙双方各显示为三位数(可显示至百位) 2、分别用三个按钮,给记分牌加减1、2、3分。 3、用一个开关实现加减控制 4、每次篮球比赛计分后用一个开关给系统清零,使系统复位,准备下一次 比赛实验。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成: 1、理论设计方案,演示所设计成果,总成绩20%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩30%;

4、考勤情况,占总成绩20%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。迟到20分钟按旷课处理。

篮球比赛电子记分牌数电课程设计

广东石油化工学院 课程设计说明书 课程名称:数字电子技术课程设计 题目:篮球比赛电子记分牌 学生姓名: 专业: 班级: 学号: 指导教师: 日期:年月日

篮球比赛电子记分牌 一、设计任务与要求 设计一个符合篮球比赛规则的记分系统。 (1)有得1分、2分和3分的情况,电路要具有加、减分及显示的功能。 (2)有倒计时时钟显示,在“暂停时间到”和“比赛时间到”时,发出声光提示。 (3)有比赛规则规定的其他计时、记分要求。 二、方案设计与论证 整个电路分为倒计时,记分牌,持球与暂停秒牌,加时与中场休息。 倒计时部分:总比赛时间为40分钟,分4个小节,每节10分钟,需要用到4个十进制计时器;记分牌可以根据情况分别加1分2分3分,所以需要3个脉冲分路,同时添加一个减法器,以解决误判情况;设置一个时间暂停,暂停灯会亮,在比赛进行时,设置一个持球时间倒计时,持球结束可以重置为零,若持球时间到,要有灯提示 电路框图为

三、单元电路设计与参数计算 输入输出 清零置数加计数减计数数据 QA QB QC QD CLR LOAD‵UP DOWN A B C D 1 ×××××××0 0 0 0 0 0 ××d0 d1 d 2 d 3 d0 d1 d2 d3 0 1 ↑ 1 ××××递增计数 0 1 1 ↑××××递减计数 0 1 ↑ 1 ××××保持 CLK CLR‵LOAD‵ENP ENT 工作状态 ×0 ×××置零 ↑ 1 0 ××预置数 × 1 1 0 1 保持 × 1 1 ×0 保持(但C=0) ↑ 1 1 1 1 计数 1.倒计时 电路图如下 如图所示,元件U1,U2,U3,U4是4个74LS192,而U5-U8是七段字符显示器。由于我们要做的是一个每节10分钟的比赛倒计时,所以让分位U5置数为1001,显示为9;秒十位U6置数为0101,显示为5;而U8是显示的是比赛的节数,所以置数为0001,显示为1。接一个始终脉冲V1至U3DOWN处,U3BO输出接U2DOWN,使U6U7显示是一个60秒倒计时。而U2的BO输出接U1DOWN,使得分

【最标准】数字电子技术课程设计 篮球比赛数字计分牌

烟台南山学院 数字电子技术课程计题目篮球比赛数字计分牌 姓名: 所在学院:工学院电气与电子工程系 所学专业:自动化 班级: 学号: 指导教师: 完成时间:

数电课程设计任务书 一、基本情况 学时:40学时学分:1学分课程设计代码:07120052 适应班级:电气工程、自动化 二、进度安排 本设计共安排1周,合计40学时,具体分配如下: 实习动员及准备工作:2学时 总体方案设计:4学时 查阅资料,讨论设计:24学时 撰写设计报告:8学时 总结:2学时 教师辅导:随时 三、基本要求 1、课程设计的基本要求 数字电子技术课程设计是自动化、电气工程及其自动化专业的一门专业必修课,通过指导学生循序渐进地独立完成数字电路的设计任务,加深学生对理论知识的理解,有效地提高了学生的动手能力,独立分析问题、解决问题能力,协调能力和创造性思维能力。着重提高学生在数字电路应用方面的实践技能,树立严谨的科学作风,培养学生综合运用理论知识解决实际问题的能力。学生通过电路的设计、安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。 2、课程设计的教学要求 数电课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。做到实训教学课堂化,严格考勤制度,在实训期间累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及格处理。在实训期间需要外出查找资料,必须在指定的时间内方可外出。 课程设计的任务相对分散,每3名学生组成一个小组,完成一个课题的设计。小组成员既有分工、又要协作,同一小组的成员之间可以相互探讨、协商,可以互相借鉴或

数字篮球计分器电路设计

XXXXXX大学 电子技术课程设计报告 题目:数字篮球计分器电路设计 学年:2012-2013学期:第一学期 专业:自动化班级:1002 学号:XXXXXXXXX 姓名:XXXX 指导教师及职称:XXXX 讲师 时间:2012年10月15日-2012年10月19日 XXXXXX学院

设计课题题目:数字篮球计分器电路设计 一、同组成员:XXX XXX XX XXX 组长:XXXX 二、设计任务与要求 1.分别记录两队得分情况; 2.进球得分加2分或3分,罚球进球得分加1分; 3.纠正错判得分减3分、2分或1分; 4.分别用三个数码管显示器记录两队的得分情况; 功能描述: 1、加分功能,当按下相应的按键开关S1、S 2、S3时,分别可以进行加1、2、3分; 2、减分功能,当将加减分置换开关S4拨到减分档时,按下开关S1、S2、S3,可以进行减分操作; 3、清零功能,当按下S0时,可以将积分清为零。 二、电路原理分析与方案设计 据篮球比赛情况,有得1、2、3分的情况,还有减分的情况,电路要具有加、减分显示的功能。用三片四位二进制加法计数器74LS160组成二、三进制计数器,控制加2、3分的计数脉冲,3片十进制可逆计数器74LS192组成的加、减分计数器用于总分累加,最多可计999。译码器显示器用于显示分数。 方案设计: 1.总体设计思路(含电路原理框图): 电路的核心模块是加减分和累加积分电路的设计,我们采用的总体方案是,

用时钟信号电路产生的脉冲信号给加减分电路提供时钟信号,之后将加减分电路发出的信号接到累加器上进行总分的累加,然后在数码显示电路上显示出来。 电路的原理框图如下图所示: 鉴于电路可以分为多个模块,因此我们将设计任务分配到每一位组员如下: 1. XXX: 脉冲电路设计与总体电路设计 2. XXX :总体电路设计与脉冲电路设计 3. XXX :译码器设计与部分电路仿真 4. XXX :计数器设计与总体电路仿真 5. XXX :控制电路设计与资料查阅 6. XXX :控制电路设计与实验报告整理 2. 主要元件介绍 (1) 二进制加法计数器 74LS160

相关文档