文档库 最新最全的文档下载
当前位置:文档库 › EDA简易电子琴设计报告

EDA简易电子琴设计报告

EDA简易电子琴设计报告
EDA简易电子琴设计报告

一、题目分析

1、分析要求,确定总体方框图

本设计基本部分是用VHDL语言设计一个简易的八音符电子琴,在Quartus II 平台下下载到Cyclone系列的EP1C12Q240C8芯片中,该电路设计能够实现DO、RE、MI、FA等八个音调的电子琴,发挥部分是设计一乐曲自动演奏器,用户自己编制乐曲存入电子琴,电子琴可以完成自动演奏。

电子琴音乐产生原理及硬件设计由于一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,即可构成我们所想要的音乐了。

根据系统设计要求,系统设计采用自顶向下的设计方法,系统的整体组装设计原理图如图1所示,它由乐曲自动演奏模块AUTO、音调发生模块TONE和数控分频模块FENPIN三部分组成。

图1 系统的整体组装设计原理图

2、最终完成的技能指标

(1)设计一个简易的八音符电子琴,它可通过按键输入来控制音响。

(2)演奏时可以选择是手动演奏(由键盘输入)还是自动演奏已存入的乐曲。

二、选择方案

通过可编程逻辑器件(PLD)和VHDL硬件描述引言来实现电子琴的基本部分和发挥部分的设计。对于基本部分,设计的主体是数控分频器,对输入的频率进

行分频,得到各个音阶对应的频率最为输出。当按下不同的键时发出不同的声音。对于发挥部分,则在原设计的基础上,增加一个乐曲存储模块,代替了键盘输入,产生节拍控制(index数据存留时间)和音阶选择信号,即在此模块中可存放一个乐曲曲谱真值表,由一个计数器来控制此真值表的输出,而由此计数器的计数时钟信号作为乐曲节拍控制信号,从而可以设计出一个纯硬件的乐曲自动演奏电路。

方案一:由单片机来完成设计。可用单片机控制键盘的输入,以及产生相应的频率信号作为输出。目前,单片机的功能已比较强大,集成度日益增高且其设计和控制比较容易。但是由于在传统的单片机设计系统中必须使用许多分立元件组成单片机的外围电路,如锁存器,译码器等都需要单独的电路,因此整个系统显得十分复杂,抗干扰性差,在运行过程中容易死机或进入死循环,可靠性降低,而功耗费用增高。

方案二:利用PLC来完成设计。目前利用PLC的技术已经比较成熟。PLC有其优点,其性能优异,体积小,可靠性和精度都比较好,在电子琴的设计中可采用PLC来完成硬件的控制,但是用PLC实现编程相对比较复杂,对于电子琴这种小型设计来说成本过高。

方案三:利用可编程逻辑器件PLD来完成该设计。利用PLD可以很好的解决上述的问题。它的成品体积小,适合电子琴这种小型设计。其性能稳定,控制精度高(Xilinx公司的高密度,高速可预测延时,高性能系列芯片),易于管理和屏蔽,抗干扰能力强,可靠性高。

综上,在本设计中选择第三种方案最优。

三、各模块原理及其程序

1、乐曲自动演奏模块

乐曲自动演奏模块(AUTO.VHD)的作用是产生8位发声控制输入信号/当进行自动演奏时,由存储在此模块中的8位二进制数作为发声控制输入,从而自动演奏乐曲。

为了实现扩展部分的设计,便需要多加上一个音乐存储模块,该模块的作用是产生8位发声控制输入index,auto为0或1时可以选择自动演奏或者键盘输入,如果auto为0,则而由存储在此模块中的8位二进制数来作为发声控制输入,由此便可自动演奏乐曲。此模块的VHDL语言中包括两个进程,首先是对基准脉冲进行分频得到4Hz的脉冲,作为第二个进程的时钟信号,它的目的是控制每个音阶之间的停顿时间,此处便是1/4=0.25s,第二个进程是音乐的存储,可根据需要编写不同的乐曲。

这段模块的原理图如图2所示:

图2乐曲自动演奏模块原理图

乐曲自动演奏模块可以由VHDL语言来实现,下面是一段主要代码:BEGIN

IF AUTO ='0' THEN

CASE COUNT0 IS

WHEN 0=>INDEX0<="00000100"; --3

WHEN 1=>INDEX0<="00000100"; --3

WHEN 2=>INDEX0<="00000100"; --3

WHEN 3=>INDEX0<="00000100"; --3

WHEN 4=>INDEX0<="00010000"; --5

WHEN 5=>INDEX0<="00010000"; --5

WHEN 6=>INDEX0<="00010000"; --5

WHEN 7=>INDEX0<="00100000"; --6

WHEN 8=>INDEX0<="10000000"; --8

WHEN 9=>INDEX0<="10000000"; --8

WHEN 10=>INDEX0<="10000000"; --8

WHEN 11=>INDEX0<="00000100"; --3

WHEN 12=>INDEX0<="00000010"; --2

WHEN 13=>INDEX0<="00000010"; --2

WHEN 14=>INDEX0<="00000001"; --1

WHEN 15=>INDEX0<="00000001"; --1

WHEN 16=>INDEX0<="00010000"; --5

WHEN 17=>INDEX0<="00010000"; --5

WHEN 18=>INDEX0<="00001000"; --4

WHEN 19=>INDEX0<="00001000"; --4

WHEN 20=>INDEX0<="00001000"; --4

WHEN 21=>INDEX0<="00000100"; --3

WHEN 22=>INDEX0<="00000010"; --2

WHEN 23=>INDEX0<="00000010"; --2

WHEN 24=>INDEX0<="00010000"; --5

WHEN 25=>INDEX0<="00010000"; --5

WHEN 26=>INDEX0<="00001000"; --4

WHEN 27=>INDEX0<="00001000"; --4

WHEN 28=>INDEX0<="00000100"; --3

WHEN 29=>INDEX0<="00000100"; --3

WHEN 30=>INDEX0<="00000010"; --2

WHEN 31=>INDEX0<="00000010"; --2

WHEN OTHERS =>NULL;

END CASE;

ELSE INDEX0<=INDEX2;

END IF;

END PROCESS;

END BEHAVIORAL;

2、音调发生模块

音调发生模块(TONE.VDL)的作用是产生获得音阶的分频预置值。当8位发声控制输入index中的某一位为高电平时,则对应某一音阶的数值将以端口tone 输出,作为获得该音阶的分频预置值,该值作为数控分频器的输入,来对4MHz 的脉冲进行分频,由此得到每个音阶相应的频率,例如输入index="00000010",即对应的按键是2,产生的分频系数便是6809;由code输出对应该音阶简谱的显示数码;由high输出指示音阶高8度的显示,低电平有效。

这段模块的原理图如图3所示:

图3 音调发生模块原理图

音调发生模块可以由VHDL语言来实现,下面是一段主要代码:

BEGIN

CASE INDEX IS

WHEN "00000001"=>TONE0 <=773;CODE<="1001111";HIGH<='1';

WHEN "00000010"=>TONE0 <=912;CODE<="0010010";HIGH<='1';

WHEN "00000100"=>TONE0 <=1036;CODE<="0000110";HIGH<='1';

WHEN "00001000"=>TONE0 <=1116;CODE<="1001100";HIGH<='1';

WHEN "00010000"=>TONE0 <=1197;CODE<="0100100";HIGH<='1';

WHEN "00100000"=>TONE0 <=1290;CODE<="0100000";HIGH<='0';

WHEN "01000000"=>TONE0 <=1372;CODE<="0001111";HIGH<='0';

WHEN "10000000"=>TONE0 <=1410;CODE<="0000000";HIGH<='0';

WHEN OTHERS =>TONE0<=2047;CODE<="0000001";HIGH<='0';

END CASE;

END PROCESS;

3、数控分频模块

在对EDA的学习中,我们知道数控分频器的功能是在输入端输入不同数据时,对输入时钟产生不同的分频比,输出不同频率的时钟,以改变输出信号的频率。本设计中数控分频模块是利用并行预置数的减法计数器对时基脉冲进行分频,得到与1、2、3、4、5、6、7七个音符相对应的频率。

该模块的VHDL描述中包含了三个进程。首先对32MHz的基准脉冲进行分频得到8MHz的脉冲,然后按照tone1输入的分频系数对4MHz的脉冲再次分频,得到的便是所需要的频率。而第三个进程的作用是在音调输出时再进行二分频,将脉冲展宽,以使扬声器有足够功率发音。

这段模块的原理图如图4所示:

图4 数控分频模块原理图

数控分频模块可以由VHDL语言来实现,下面是一段主要代码:

BEGIN

PROCESS(CLK1)

VARIABLE COUNT:INTEGER RANGE 0 TO 8;

BEGIN

IF (CLK1'EVENT AND CLK1='1')THEN

COUNT:=COUNT +1;

IF COUNT=2 THEN

PRECLK<='1';

ELSIF COUNT =4 THEN

PRECLK<='0';COUNT:=0;

END IF ;

END IF ;

END PROCESS;

PROCESS(PRECLK,TONE1)

VARIABLE COUNT11:INTEGER RANGE 0 TO 2047;

BEGIN

IF (PRECLK'EVENT AND PRECLK='1')THEN

IF COUNT11

COUNT11:=COUNT11+1;FULLSPKS<='1';

ELSE

COUNT11:=0;FULLSPKS<='0';

END IF ;

END IF ;

END PROCESS;

PROCESS(FULLSPKS)

VARIABLE COUNT2 :STD_LOGIC:='0';

BEGIN

IF (FULLSPKS'EVENT AND FULLSPKS='1')THEN

COUNT2:=NOT COUNT2;

IF COUNT2='1'THEN

SPKS<='1';

ELSE

SPKS<='0';

END IF ;

END IF;

END PROCESS;

4、顶层设计

该DIANZIQIN模块是整个电子琴设计的核心,也是VHDL程序的主程序,前面3个源程序都是作为子程序分别实现电子琴的某一功能,而DIANZIQIN模块则通过调用子程序最终实现乐曲演奏的目的,奏出美妙的乐曲。利用VHDL语言COMPONENT将三个模块组合起来,其中3个模块和DIANZIQIN模块的输入输出是一一对应的,该模块的符号编辑图如图5。

图5 顶层设计模块符号编辑图

四、编程下载测试

1、功能仿真

功能仿真用于综合前检查设计的逻辑功能是否符合设计要求。仿真过程中可

以通过观察检测设计的输入信号,输出信号以及部信号以检测设计的逻辑功能。如果仿真都通过的话就可以进行引脚锁定,然后下载程序,在实验箱上可以直观的看到结果。

(1)乐曲自动演奏模块的仿真(如图6所示)

图6乐曲自动演奏模块的仿真

该图输入系统时钟信号CLK初值设为0,自动演奏AUTO设为1,键盘输入信号INDEX2为00,INDEX0为音符信号输出,是8位的二进制代码它根据COUNT0的值改变而改变。

(2)音调发生模块的仿真(如图7)

图7音调发生模块的仿真

该图输入音符信号INDEX初值为00,输出是音符显示信号CODE为01,高低音显示信号为0,音符的分频系数为11111111即2047Hz。

(3)数控分频模块的仿真(如图8)

图8 数控分频模块的仿真

该图输入系统时钟信号CLK1初值为0(各输出值都是在时钟信号的下降沿有效),音符分频系数TONE1为00100000即1290Hz,驱动扬声器的音频信号SPKS 输出为1。

(4)简易电子琴整个系统的仿真(如图9)

图9 简易电子琴整个系统的仿真

该图输入系统时钟信号CLK初值为0,自动演奏信号HANDTOAUTO初值为0,键盘输入信号INDEX1为00000000;输出音符信号CODE1则为0110000,高低音节信号HIGH1变为1,即高音,音频信号SPKOUT即输出0,输出为1时CODE1变为0110100。

2、锁定引脚:

图10 引脚锁定

3、硬件测试结果

选择模式3,PIO31控制是否自动播放预置歌曲或手动键入歌曲,可通过按键1—8演奏歌曲。

五、课程总结

在整个设计过程中,我通过查阅大量有关资料,与同学交流经验和自学,并向老师请教等方式,使自己学到了不少知识,也经历了不少艰辛,但收获同样巨

大。在整个设计中我懂得了许多东西,也培养了我独立工作的能力,树立了对自己工作能力的信心,相信会对今后的学习工作生活有非常重要的影响。同时大大提高了动手的能力,使我充分体会到了在创造过程中探索的艰难和成功时的喜悦。虽然这个设计做的也不太好,功能并没有完全实现,但是在设计过程中所学到的东西是这次课程设计的最大收获和财富,使我终身受益。

通过这次VHDL课程设计,不仅增强了我们的实践动手能力,也让我们对课堂上所学到的理论知识的理解加深了许多,这给我们提供了一个在学习生活中很难得的理论联系实际的机会。能够借此机会了解到部分EDA技术的知识和学习运用其中一种硬件描述语言VHDL编程实现各种常用器件的功能,这是在任何课堂上都得不到的一笔财富。

另一方面我们也发现了在平时学习过程中难于发现的许多缺点跟不足。比如实践机会过少,所学的理论知识不能灵活运用,在遇到实际的问题时无法正确处理;再者在课堂上获得的专业知识过于浅显,很多的有关基本操作原理、操作方法都理解不了;课外知识了解的也过少,导致在课程设计初期,面对完全陌生的设计课题无从下手,不知所措。这就提醒我们在平时的学习生活中不能一味埋头于面前的课本知识,毕竟当今社会竞争越发激烈,而学校能教授的东西有限,要想在人才市场中脱颖而出就只能靠我们自己。当然,在学习之余我们更应该积极参加各种有关专业知识的实践活动和比赛,巩固所学理论,多注意培养初步的实际工作能力和专业技术能力,这样在以后的工作岗位上不会显得那么仓促与生疏。

六、参考文献目录

[1] 黄正谨,在系统编程技术及其应用,东南大学

[2] 江海.,EDA技术课程设计.华中科技大学

[3] 王诚,吴继华,Altera FPGA/CPLD设计,人民邮电

[4] 红润,亚凡,FPGA/CPLD应用设计200例,北京航空航天大学

[5] 王锁萍,电子设计自动化(EDA)教程,电子科技大学

七、附录

源程序如下:

1、自动演奏模块程序(AUTO.VHD):

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY AUTO IS

PORT ( CLK : IN STD_LOGIC; --系统时钟;键盘输入/自动演奏

AUTO : IN STD_LOGIC; --键盘输入信号

CLK2 : BUFFER STD_LOGIC; --音符信号输出

INDEX2 : IN STD_LOGIC_VECTOR(7 DOWNTO 0);

INDEX0 : OUT STD_LOGIC_VECTOR(7 DOWNTO 0));

END AUTO;

ARCHITECTURE BEHAVIORAL OF AUTO IS

SIGNAL COUNT0: INTEGER RANGE 0 TO 31;

BEGIN

PULSE0 :PROCESS(CLK,AUTO)

--此进程完成对系统时钟8M的分频,得到4Hz的信号clk2

VARIABLE COUNT :INTEGER RANGE 0 TO 8;

--定义计数器变量,值从0到8

BEGIN

IF AUTO ='1' THEN --键盘输入为1

COUNT := 0;CLK2<='0'; --计数器值指0,时钟信号为0 ELSIF(CLK'EVENT AND CLK ='1')THEN --时钟输入信号为1

COUNT :=COUNT +1; --计数器加1

IF COUNT =4 THEN

CLK2 <='1';

ELSIF COUNT =8 THEN

CLK2<='0'; COUNT:=0;

END IF ;

END IF ;

END PROCESS;

MUSIC:PROCESS(CLK2) --此进程完成自动演奏部分曲的地址累加BEGIN

IF (CLK2'EVENT AND CLK2='1')THEN

IF (COUNT0=31)THEN

COUNT0<=0;

ELSE

COUNT0<=COUNT0+1;

END IF ;

END IF ;

END PROCESS;

COM1:PROCESS(COUNT0,AUTO,INDEX2)

BEGIN

IF AUTO ='0' THEN

CASE COUNT0 IS --此case语句:存储自动演奏部分的曲

WHEN 0=>INDEX0<="00000100"; --3

WHEN 1=>INDEX0<="00000100"; --3

WHEN 2=>INDEX0<="00000100"; --3

WHEN 3=>INDEX0<="00000100"; --3

WHEN 4=>INDEX0<="00010000"; --5

WHEN 5=>INDEX0<="00010000"; --5

WHEN 6=>INDEX0<="00010000"; --5

WHEN 7=>INDEX0<="00100000"; --6

WHEN 8=>INDEX0<="10000000"; --8

WHEN 9=>INDEX0<="10000000"; --8

WHEN 10=>INDEX0<="10000000"; --8

WHEN 11=>INDEX0<="00000100"; --3

WHEN 12=>INDEX0<="00000010"; --2

WHEN 13=>INDEX0<="00000010"; --2

WHEN 14=>INDEX0<="00000001"; --1

WHEN 15=>INDEX0<="00000001"; --1

WHEN 16=>INDEX0<="00010000"; --5

WHEN 17=>INDEX0<="00010000"; --5

WHEN 18=>INDEX0<="00001000"; --4

WHEN 19=>INDEX0<="00001000"; --4

WHEN 20=>INDEX0<="00001000"; --4

WHEN 21=>INDEX0<="00000100"; --3

WHEN 22=>INDEX0<="00000010"; --2

WHEN 23=>INDEX0<="00000010"; --2

WHEN 24=>INDEX0<="00010000"; --5

WHEN 25=>INDEX0<="00010000"; --5

WHEN 26=>INDEX0<="00001000"; --4

WHEN 27=>INDEX0<="00001000"; --4

WHEN 28=>INDEX0<="00000100"; --3

WHEN 29=>INDEX0<="00000100"; --3

WHEN 30=>INDEX0<="00000010"; --2

WHEN 31=>INDEX0<="00000010"; --2

WHEN OTHERS =>NULL;

END CASE;

ELSE INDEX0<=INDEX2; --此进程完成自动演奏部分曲的地址累加

END IF;

END PROCESS;

END BEHAVIORAL;

2、数控分频模块程序(FENPIN.VHD):

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY FENPIN IS

PORT(CLK1: IN STD_LOGIC; --定义系统时钟

TONE1: IN INTEGER RANGE 0 TO 2047;

--定义音符分频系数,从0到2047Hz

SPKS: OUT STD_LOGIC); --定义驱动扬声器的音频信号END ENTITY FENPIN;

ARCHITECTURE ART OF FENPIN IS

SIGNAL PRECLK:STD_LOGIC;

SIGNAL FULLSPKS:STD_LOGIC;

BEGIN

PROCESS(CLK1)

VARIABLE COUNT:INTEGER RANGE 0 TO 8; --此进程对系统时钟进行4分频BEGIN

IF (CLK1'EVENT AND CLK1='1')THEN

COUNT:=COUNT +1;

IF COUNT=2 THEN

PRECLK<='1';

ELSIF COUNT =4 THEN

PRECLK<='0';COUNT:=0;

END IF ;

END IF ;

END PROCESS;

PROCESS(PRECLK,TONE1) --此进程按照tone1输入

==分频系数对8MHz的脉冲再次分频,得到所需要的音符频率

VARIABLE COUNT11:INTEGER RANGE 0 TO 2047;

BEGIN

IF (PRECLK'EVENT AND PRECLK='1')THEN

IF COUNT11

COUNT11:=COUNT11+1;FULLSPKS<='1';

ELSE

COUNT11:=0;FULLSPKS<='0'; --此进程对FULLSPKS进行2分频

END IF ;

END IF ;

END PROCESS;

PROCESS(FULLSPKS)

VARIABLE COUNT2 :STD_LOGIC:='0';

BEGIN

IF (FULLSPKS'EVENT AND FULLSPKS='1')THEN

COUNT2:=NOT COUNT2;

IF COUNT2='1'THEN

SPKS<='1';

ELSE

SPKS<='0';

END IF ;

END IF;

END PROCESS;

END ART;

3、音调发生模块程序(TONE.VHD):

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY TONE IS

PORT (INDEX: IN STD_LOGIC_VECTOR(7 DOWNTO 0); --音符输入信号 CODE: OUT STD_LOGIC_VECTOR(6 DOWNTO 0); --音符显示信号 HIGH: OUT STD_LOGIC; --高低音显示信号

TONE0: OUT INTEGER RANGE 0 TO 2047); --音符的分频系数END TONE;

ARCHITECTURE ART OF TONE IS

BEGIN

SEARCH : PROCESS(INDEX)

--此进程完成音符到音符的分频系数移码,音符的显示,高低音阶。

BEGIN

CASE INDEX IS

WHEN "00000001"=>TONE0 <=773;CODE<="1001111";HIGH<='1';

WHEN "00000010"=>TONE0 <=912;CODE<="0010010";HIGH<='1';

--音符第7位为1,分频数912Hz,音符显示为0010010,属高音

WHEN "00000100"=>TONE0 <=1036;CODE<="0000110";HIGH<='1';

WHEN "00001000"=>TONE0 <=1116;CODE<="1001100";HIGH<='1';

WHEN "00010000"=>TONE0 <=1197;CODE<="0100100";HIGH<='1';

WHEN "00100000"=>TONE0 <=1290;CODE<="0100000";HIGH<='0';

WHEN "01000000"=>TONE0 <=1372;CODE<="0001111";HIGH<='0';

WHEN "10000000"=>TONE0 <=1410;CODE<="0000000";HIGH<='0';

WHEN OTHERS =>TONE0<=2047;CODE<="0000001";HIGH<='0';

END CASE;

END PROCESS;

END ART;

简易电子琴课程设计

课程设计任务书学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 简易电子琴电路的设计仿真与实现 初始条件: 可选元件:集成运算放大器LM324、电阻、电位器、电容若干,直流电源,或自备元器件。 可用仪器:示波器,万用表,直流稳压源,函数发生器 要求完成的主要任务: (1)设计任务 根据要求,完成对简易电子琴电路的仿真设计、装配与调试,鼓励自制稳压电源。 (2)设计要求 ①设计一简易电子琴电路,按下不同琴键即改变RC值,能发出C调的八个基本音阶,采用运算放大 ②选择电路方案,完成对确定方案电路的设计。 ③利用Proteus或Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原理并仿真实现系 统功能。 ④安装调试并按规范要求格式完成课程设计报告书。 ⑤选做:利用仿真软件的PCB设计功能进行PCB设计。 时间安排: 1、前半周,完成仿真设计调试;并制作实物。 2、后半周,硬件调试,撰写、提交课程设计报告,进行验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.模电课设概述 (1) 1.1设计背景 (1) 1.2设计目的及意义 (1) 1.3开发环境proteus简介 (1) 2.电路原理 (3) 2.1 RC桥式振荡电路及频率选择 (3) 2.2振荡条件 (4) 3.总体方案设计 (5) 3.1实验电路设计思路 (5) 3.2设计电路图 (6) 3.3实验参数选择 (6) 4.仿真曲线及结果分析 (7) 4.1仿真操作过程及曲线 (7) 4.2仿真结果分析 (14) 5.实物制作及仿真、实物的差异 (15) 5.1实物制作过程和调试过程 (15) 5.2 仿真、实物的差异 (16) 6.心得体会 (17) 7.元件清单 (18) 8.参考文献 (19)

简易电子琴课程设计报告

摘要 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器,它在音奏中已成为不可缺少的一部分。本文主要介绍运用555定时器制作简易电子琴的设计方法。该方法利用555定时器构成多谐振荡器,通过按键控制不同的RC组合应用多谐振荡器产生不同频率八个基本音阶的脉冲信号波,然后连到扬声器上,即可发出八音阶的音乐。在该设计中,利用了555定时器构成的多谐振荡器产生各音阶不同频率的脉冲,不仅仅使其频率调节更加方便,而且发出的声音稳定、饱满。 前言 (1) 第一章设计内容及要求 (2) 1.1 设计的基本原理 (2) 1.2 设计要求 (2) 第二章系统组成及工作原理 (3) 2.1 系统组成 (3) 2.1.1 按键模块 (3) 2.1.2音调发生模块 (3) 2.1.3音响模块 (4) 2.2 工作原理 (4) 2.2.1 NE555多谐振荡器 (5) 2.2.2 LM386集成功率放大器 (7) 第三章方案比较 (8) 3.1 方案一 (8) 3.2 方案二 (9) 3.3方案三 (10) 3.4方案分析与比较 (11) 第四章参数计算、器件选择 (12) 4.1 参数计算 (12) 4.2 器件选择 (12) 第五章系统调试及测试结果分析 (14) 5.1 系统调试 (18) 关键词:简易电子琴,555定时器,多谐振荡器,八个基本音阶 目录

5.2 硬件调试···················································19 2 5.3 测试结果与分析 (19) 前言 随着当代科学设计的发展,电子产品在人们的日常生活中占据着越来越多重要的地位。电子琴作为其中的一个典型代表,引领着许多孩子进入音乐的殿堂。因此,我们选择了简易电子琴这个题目来制作,因为它不仅能过提高实际动手能力,还与实际生活有着紧密的联系。 模拟电子技术基本教程是一门实践性很强的课程,而此次课程设计依据的理论基础是模拟电子技术基本教程,其主要目的是通过本课程的培养,启发学生的创造性思维,进一步探究书本知识。本课程设计是设计出一个电子产品,先焊接好,再进行检验。 在电子课程设计的过程中,系统的概念十分重要,熟悉从系统的层次分析问题、解决问题的方式。基本方法除了实验课中要求掌握的功能测试、故障排除等各种一般方法以外、要特别注重使用“电路拼装”的方法。课程设计的一般步骤如下:(1)、选择一个课题;(2)、查阅有关资料;(3)、进行可行论证;(4)、通过设计方案的比较,定出最优的设计方案;(5)、分解为多个模块;(6)、分别设计各个功能模块电路,并完成调试;(7)、组装成完整的数字系统;(8)、编写设计、安装、调试报告。 1 第一章设计原理及要求 1.1 设计的原理 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成施密特触发器、单稳态触发器及多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。 5.4 误差分析 (19) 实验小结及心得体会 (20) 结论······························································21 参考 文献·························································22 附录一····························································23 附录二···························································· 24 3

基于51单片机简易电子琴的课程设计

基于51单片机简易电子琴 1 课题背景 单片微型计算机室大规模集成电路技术发展的产物,属于第四代电子计算机它具有高性能、高速度、体积小、价格低廉、稳定可靠、应用广泛的特点。他的应用必定导致传统的控制技术从根本上发生变革。因此,单片机的开发应用已成为高科技和工程领域的一项重大课题。 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本文的主要内容是用AT89S52单片机为核心控制元件,设计一个电子琴。以单片机作为主控核心,与键盘扬声器等模块组成核心主控制模块,在主控模块上设有8个按键,和一个复位按键。 主要对使用单片机设计简易电子琴进行了分析,并介绍了基于单片机电子琴硬件的组成。利用单片机产生不同频率来获得我们要求的音阶,最终可随意弹奏要表达的音符。并且分别从原理图,主要芯片,个模块原理及各莫奎的程序的调试来详细阐述。 一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,构成我们想演奏的那首曲目。当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样的方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系编写正确就可以达到我们想要的曲目。 2 任务要求与总体设计方案 2.1 设计任务与要求 利用所给键盘的1,2,3,4,5,6,7,8八个键,能够发出7个不同的音调,而且有一个按键可以自动播放歌曲,要求按键按下时发声,松开延时一小段时间,中间再按别的键则发另外一音调的声音,当系统扫描到键盘按下,则快速检测出是哪一个按键被按下,然后单片机的定时器启动,发出一定频率的脉冲,该频率的脉冲经喇叭驱动电路放大滤波后,就会发出相应的音调。如果在前一个按下的键发声的同时有另一个按键被按下,则启动中断系统。前面的发音停止,转到后按的键的发音程序。发出后按的键的音调。 2.2 设计方案 2.2.1 播放模块 播放模块是由喇叭构成,它几乎不存在噪声,音响效果较好,而且由于所需驱动功率较小,且价格低廉,所以,被广泛应用。 2.2.2 按键控制模块

简易电子琴实验报告材料

邮电大学 课题名称:简易电子琴的设计和制作学院:信息与通信工程学院 专业:信息工程 班级:2014211126 :家威 学号:2014210691 班序号:10 指导老师:王丹志

一、摘要及关键字 本课程设计以制作出一个简易电子琴为最终目的。该电子琴以NE555为核心,通过公式计算不同频率按键对应的阻值来实现不同的音调,然后通过运算放大器将信号放大并通过喇叭发出声音。由此设计仿真电路图,选择合适器件进行电路搭建,并进行调试直至达到要求,最后进行数据统计。 关键字:电子琴振荡电路运算放大器 二、设计任务及要求 了解由555定时器构成简易电子琴的电路及原理。设计并利用NE555集成运算电路以及外加电阻,电容在第一级产生不同频率的音乐,再利用LM386功率放大电路对音乐信号进行放大,最后通过扬声器产生21个音符。 三、设计思路、总体结构框图 设计思路 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积小,使用起来方便。只要在外部配上几个适当的阻容元

件,就可以构成施密特触发器、单稳态触发器及多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。由555定时器电路组成的多谐振荡器,它的振荡频率可以通过改变振荡电路中的RC原件的数值进行改变。根据这一原理,通过设定一些不同的RC数值并通过控制电路,按照一定的速度依次将不同的RC组件接入振荡电路,就可以使振荡电路按照设定的要求,有节奏的发射已设定的音频信号与音乐。 总体结构框图

四、分块电路和总体电路的设计分块电路: 琴键端(开关、电阻) NE555电路:

数电课程设计--简易电子琴

目录 1 设计任务 (1) 1.1 基本任务 (1) 1.2 扩展任务 (1) 2 设计方案原理 (1) 3 单元电路的设计 (2) 3.1 多谐振荡器 (2) 3.2 琴键开关 (3) 3.3 扩音器(喇叭) (4) 3.4 器件选择 (4) 4 电路图的绘制 (5) 5 电路的仿真及调试 (6) 6 体会 (6) 参考文献 (8)

1设计任务 电子琴是一种很简单的电子产品,目前市场上所售的电子琴多为基于单片机所设计的。本次课设要求利用数电知识,设计一个能奏出八个音阶的电子琴。虽然没有基于单片机的电子琴那么多的功能,但是电子琴的基本功能是可以满足的。 本次设计的主要内容为:根据数电课程所学内容,结合其他相关课程知识,设计一个简易电子琴,以加深对单片机知识的理解,锻炼实践动手能力。 本次设计的任务为: 1.1基本任务 ①具备8个按键,能够分别较准确地弹奏出1?1八个音符。 ②选择电路方案,完成对确定方案电路的设计。计算电路元件参 数与元件选择、并画出总体电路原理图,阐述基本原理。用 Proteus或MULTISIM软件完成仿真,并按规定格式写出课程设计 报告书。 1.2扩展任务 ①能够弹奏出至少21个音符(三个音阶)。 ②能够较便捷地完成音阶的升降。(按一个开关实现升8度,按另一个开关实现降 8度) 2设计方案原理 本方案为利用555多谐振荡器能输出脉冲信号的特性,通过改变振荡器外接电阻的阻值来改变振荡器输出脉冲的频率,驱动喇叭发出各种音阶。电子琴所用琴键即为改变电阻阻值的开关,通过改变阻值使输出与琴键音阶相对应。

原理框图如下: 图1原理框图 3单元电路的设计 3.1多谐振荡器 利用多谐振荡器产生周期脉冲电路图如下图所示 图2 多谐振荡器电路实现 图中引脚功能: 1脚:GND或Vss)外接电源负端VSS或接地,一般情况下接地。 2脚:TR低触发端。 3脚:OUT(或Vo)输出端。 4脚:Rd是直接清零端。当R端接低电平,则时基电路不工作,此时不论TR、TH 处于何电平,时基电路输出为“ o”,该端不用时应接高电平。 5脚:CO或VC)为控制电压端。若此端外接电压,则可改变内部两个比较器的

(完整版)基于51单片机简易电子琴的设计

电子琴的设计 1 课题背景 单片微型计算机室大规模集成电路技术发展的产物,属于第四代电子计算机它具有高性能、高速度、体积小、价格低廉、稳定可靠、应用广泛的特点。他的应用必定导致传统的控制技术从根本上发生变革。因此,单片机的开发应用已成为高科技和工程领域的一项重大课题。 电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。本文的主要内容是用AT89S52单片机为核心控制元件,设计一个电子琴。以单片机作为主控核心,与键盘扬声器等模块组成核心主控制模块,在主控模块上设有8个按键,和一个复位按键。 主要对使用单片机设计简易电子琴进行了分析,并介绍了基于单片机电子琴硬件的组成。利用单片机产生不同频率来获得我们要求的音阶,最终可随意弹奏要表达的音符。并且分别从原理图,主要芯片,个模块原理及各莫奎的程序的调试来详细阐述。 一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,构成我们想演奏的那首曲目。当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样的方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系编写正确就可以达到我们想要的曲目。一. 任务要求与总体设计方案 1.1 设计任务与要求 利用所给键盘的1,2,3,4,5,6,7,8八个键,能够发出7个不同的音调,而且有一个按键可以自动播放歌曲,要求按键按下时发声,松开延时一小段时间,中间再按别的键则发另外一音调的声音,当系统扫描到键盘按下,则快速检测出是哪一个按键被按下,然后单片机的定时器启动,发出一定频率的脉冲,该频率的脉冲经喇叭驱动电路放大滤波后,就会发出相应的音调。如果在前一个按下的键发声的同时有另一个按键被按下,则启动中断系统。前面的发音停止,转到后按的键的发音程序。发出后按的键的音调。 1.2 设计方案 1.2.1 播放模块 播放模块是由喇叭构成,它几乎不存在噪声,音响效果较好,而且由于所需驱动功率

简易电子琴设计报告(实物)

简易电子琴设计 姓 名 学 号 院、系、部 班 级 完成时间 ※※※※※※※※ ※ ※ ※ ※※ ※※ ※ ※ ※ ※ ※※※※※ 2013级 模拟电子技术课程设计

摘要 本课题的目的就是熟悉555定时器的内部结构和功能、多级三极管组成放大电路,合理使用和搭配其他电子元件,能够完成本课题所需设计的电路的设计,并设定各元件的参数使其实现三键的简易电子琴的功能。熟练掌握了多级放大电路的原理及应用。 电子琴以电位器调整输入信号从而引起单稳态触发器产生方波,再经过两级放大电路放大信号传送给喇叭,驱动喇叭发声,经过电位器的调解输入信号产生变化,从而发出不同频率的声音,达到设计要求。 关键词:555定时器整流器稳压器

目录 第1章设计目地 (1) 第2章设计主体 (1) 第3章硬件电路设计 3.1 整体框图 (1) 3.2 555定时器构成的单稳态触发器 (1) 3.3 电位器电阻的确定 (3) 3.4 两级三极管构成的多级放大电路 (4) 第4章总体原理电路图 (5) 第5章仿真结果及说明 (6) 第6章设计总结 (6) 参考文献 (7)

第1章设计目的 学会555定时器构成的单稳态触发器,掌握数字电子技术基础的基本概念、基本理论和基本方法,学会使用Multisim仿真软件。 第2章设计主体 用555定时器构成单稳态触发器实现,当按键按下后发光二极管发光,给电路提供电源;同时隔离选频电阻之间的电平,选频用电位器调节实现(可加在开关和触发器输入之间),触发器输出经两个9013功率放大,来驱动喇叭,调节电位器,使其音阶发出1、2、3,设计供电电源电路,线路板合理布局,要求布局美观,布线合理,注意各个芯片的管脚图,注意电源和地使用不同颜色的线区分,注意芯片的电源和地不要接反,在实习报告中写出设计过程,设计原理及体会。 第3章硬件电路设计 3.1 整体框图 图3.1 整体框图 3.2 555定时器构成的单稳态触发器

简易电子琴课程设计

简易电子琴电路的设计与制作一?实验目的 1、将课程的理论知识转换为技能。 2、掌握简易电子琴的组成原理及设计方法。 3、掌握集成555定时器应用电路的设计、制作与调试方法。 4、掌握制作电子电路的技能。 5、进一步熟悉常用电子器件的类型和特性,并掌握合理选用的原则, 掌握电子仪器的正确使用 6、学会电子电路的安装与调试技能。 7、学会撰写课程设计总结报告。 8、通过课程设计的综合训练,为以后毕业设计打下一定的基础二?实验设备 NE555两个、琴键开关8个(小体积)、按键开关1个、扬声器1个(5V蜂鸣器)、电容0.1μF1个、电容0.01μF1个电容4.7μF1个,、22μF1个、系列电阻:148kΩ、121kΩ、95kΩ、86kΩ、66kΩ、48kΩ、32kΩ、25kΩ、10 kΩ、1 kΩ、5 kΩ、2 kΩ、4.7kΩ若干。三极管9013、9012、9014各两个。φ3红色发光管8个。可调电阻(电位器)60~100 kΩ8个(参考型号104、105、103、504、503),面包板用独股线导线若干。大面包板1块,三联焊接板1块,焊锡、焊油若干。万用表一块、镊子一把、斜嘴一把。三?课程设计任务及要求 1、用555定时器和电子元件设计一个简易电子琴,实现C调八个音 1 阶1.2.3.4.5.6.7.i,具有一般演奏功能。 2、设计电路,按照音阶频率要求选择器件参数,各音阶对应的频率 为:1(264Hz)、2(297Hz)、3(330Hz)、4(352Hz)、5(396Hz)、6(440Hz)、 7(495Hz)、 i(528Hz)。

3、用琴键控制音阶,用指示灯显示发出音阶,按下琴键相应指示灯亮,发出准确的音阶音。 4、仿真验证设计电路,接通仿真电路琴键开关可以听到扬声器发出的准确音阶。 5、在面包板上搭接电路,调整电路参数,达到仿真音阶,做到用人耳识别,音色不失真。 6、设计电路板,组装、焊接、调试达到设计的仿真实验效果,使设计尽量成品化。 7、功能扩展:自动演奏功能、声音延续功能等。 8、完成设计报告 四?实验设计原理 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积很小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成史密特触发器、单稳态触发器及自激多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。简易电子琴主要使用555定时器和电子元件实现C调八个音阶 1.2.3.4.5.6.7.i,具有一般演奏功能。 2 以下为555定时器的结构及工作原理电路组成: 1).分压器:三个5kΩ电阻构成 2).比较器:两个比较器C1和电路组成: 3). 基本R-S触发器 4).放电晶体管T 5).输出缓冲门

简易电子琴课程设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 简易电子琴电路的设计仿真与实现 初始条件: 可选元件:集成运算放大器LM324、电阻、电位器、电容若干,直流电源,或自备元器件。 可用仪器:示波器,万用表,直流稳压源,函数发生器 要求完成的主要任务: (1)设计任务 根据要求,完成对简易电子琴电路的仿真设计、装配与调试,鼓励自制稳压电源。 (2)设计要求 ①设计一简易电子琴电路,按下不同琴键即改变RC值,能发出C调的八个基本音阶,采 用运算放大器构成振荡电路,用集成功放电路输出。已知八个基本音阶在C调时所对 ②选择电路方案,完成对确定方案电路的设计。 ③利用Proteus或Multisim仿真设计电路原理图,确定电路元件参数、掌握电路工作原 理并仿真实现系统功能。 ④安装调试并按规范要求格式完成课程设计报告书。 ⑤选做:利用仿真软件的PCB设计功能进行PCB设计。 时间安排: 1、前半周,完成仿真设计调试;并制作实物。 2、后半周,硬件调试,撰写、提交课程设计报告,进行验收和答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1.模电课设概述 (1) 1.1设计背景 (1) 1.2设计目的及意义 (1) 1.3开发环境proteus简介 (1) 2.电路原理 (3) 2.1 RC桥式振荡电路及频率选择 (3) 2.2振荡条件 (4) 3.总体方案设计 (5) 3.1实验电路设计思路 (5) 3.2设计电路图 (6) 3.3实验参数选择 (6) 4.仿真曲线及结果分析 (7) 4.1仿真操作过程及曲线 (7) 4.2仿真结果分析 (14) 5.实物制作及仿真、实物的差异 (15) 5.1实物制作过程和调试过程 (15) 5.2 仿真、实物的差异 (16) 6.心得体会 (17) 7.元件清单 (18) 8.参考文献 (19)

简易电子琴1

目录 1概述 (1) 2方案设计 (2) 2.1系统设计要求 (2) 2.2电子琴系统的组成 (2) 2.3电子琴系统的设计思想 (2) 2.3.1 硬件设计思想 (2) 2.3.2 软件设计思想 (3) 3硬件电路设计 (4) 3.1系统方案 (4) 3.2系统功能框图 (5) 3.3功能模块详细设计 (5) 3.4主要芯片功能描述 (9) 4 系统软件设计 (10) 4.1主程序流程图 (10) 4.2源程序 (12) 4.3设计总结 (16) 5 仿真与调试 (17) 结束语 (19) 参考文献 (20)

1概述 单片微型计算机简称单片机,是典型的嵌入式微控制器(Microcontroller Unit),单片机芯片 常用英文字母的缩写MCU表示单片机,单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。相当于一个微型的计算机,和计算机相比,单片机只缺少了I/O设备。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。同时,学习使用单片机是了解计算机原理与结构的最佳选择。它最早是被用在工业控制领域。 由于单片机在工业控制领域的广泛应用,单片机由芯片内仅有CPU的专用处理器发展而来。最早的设计理念是通过将大量外围设备和CPU集成在一个芯片中,使计算机系统更小,更容易集成进复杂的而对体积要求严格的控制设备当中。INTEL的Z80是最早按照这种思想设计出的处理器,当时的单片机都是8位或4位的。其中最成功的是INTEL的8031,此后在8031上发展出了MCS51系列单片机系统。因为简单可靠而性能不错获得了很大的好评。尽管2000年以后ARM已经发展出了32位的主频超过300M的高端单片机,直到目前基于8031的单片机还在广泛的使用。在很多方面单片机比专用处理器更适合应用于嵌入式系统,因此它得到了广泛的应用。事实上单片机是世界上数量最多处理器,随着单片机家族的发展壮大,单片机和专用处理器的发展便分道扬镳。 现代人类生活中所用的几乎每件电子和机械产品中都会集成有单片机。手机、电话、计算器、家用电器、电子玩具、掌上电脑以及鼠标等电脑配件中都配有1-2部单片机。汽车上一般配备40多部单片机,复杂的工业控制系统上甚至可能有数百台单片机在同时工作!单片机的数量不仅远超过PC机和其他计算的总和,甚至比人类的数量还要多。

模电课程设计简易电子琴的设计

1. 模电课设概述 现在的电子琴一般使用PCM或AWM采样音源。所谓采样就是录制乐器的声音,将其数字化后存入ROM里,然后按下键时CPU回放该音。甚至有一些高级编曲键盘可以使用外置采样(比如Tyros 3的硬盘音色)。现代电子琴并非“模仿”乐器音色。它使用的就是真实乐器音色。当然,现在力度触感在电子琴里是必备的。而且现代电子琴还加上了老式电子琴的滤波器,振荡器,包络线控制来制造和编辑音色。甚至也带上了老式电子琴的FM 合成机构。 本次课程设计主要是通过对电子琴主体部分的电路进行模仿设计,按下不同琴键改变RC值,发出C调的八个基本音阶,采用运算放大器构成振荡电路,用集成功放电路输出音调,从而达到电子琴固有的基本功能。 2. Proteus软件简介 Proteus软件是由英国LabCenter Electronics公司开发的EDA工具软件,由ISIS和ARES两个软件构成,其中ISIS是一款便捷的电子系统仿真平台软件,ARES是一款高级的布线编辑器,它集成了高级原理布线图、混合模式SPICE电路仿真、PCB设计以及自动布线来实现一个完整的电子设计。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。 Proteus软件的模拟仿真直接兼容厂商的SPICE模型,采用了扩充的SPICE3F5电路仿真模型,能够记录基于图表的频率特性、直流电的传输特性、参数的扫描、噪声的分析、傅里叶分析等,具有超过8000种的电路仿真模型。 Proteus软件支持许多通用的微控制器,如PIC、AVR、HC11以及8051;包含强大的调试工具,可对寄存器、存储器实时监测;具有断点调试功能及单步调试功能;具有对显示器、按钮、键盘等外设进行交互可视化仿真的功能。此外,Proteus可对IAR C-SPY、KEIL 等开发工具的源程序进行调试。 此外,在Proteus中配置了各种虚拟仪器,如示波器、逻辑分析仪、频率计,便于测量和记录仿真的波形、数据。 3. 简易电子琴基本原理 3.1 音乐产生原理 由于一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我

单片机课程设计 简易电子琴设计

单片机课程 设计 课程设计名称 专学学 指 级 名 号 师导 课程设计时间

需求分析 1.1课题背景 随着社会的发展进步,音乐逐渐成为我们生活中很重要的一部分,有人曾说喜欢音乐的人不会向恶。我们都会抽空欣赏世界名曲,作为对精神的洗礼。本论 文设计一个基于单片机的简易电子琴。电子琴是现代电子科技与音乐结合的产物,是一种新型的键盘乐器。它在现代音乐扮演着重要的角色,单片机具有强大的控制功能和灵活的编程实现特性,它已经溶入现代人们的生活中,成为不可替代的一部分。电子科技也在不断的前进,电子技术正在以不同的方式改变着我们 的生活,电子琴设计也是希望给人们带来一些生活的乐趣。电子琴可以应用在很多方面,比 如一些简易的玩具上或手机上。 单片机技术使我们可以利用软硬件实 现电子琴的功能,从而实现电子琴的微型化。 本文主要对使用单片机设计简易电子琴进行了分析,并介绍了基于单片机电子琴统硬件组成。利用单片机产生不同频率来获得我们要求的音阶,最终可随意弹奏想要表达的音乐。并且本文分别从原理图,主要芯片,各模块原理及各模块的程序的调试来详细阐述。 1.2课题设计的任务与主要内容 本文的主要内容是用AT89C51单片机为核心控制元件,设计一个简单的电子琴。以单片机作为主控核心,与键盘、扬声器等模块组成核心主控制模块,在主 控模块上设有1 6个按键和扬声器。定时器按设置的定时参数产生中断,由于定 时参数不同,就会发出不同频率的脉冲,不同频率的脉冲经喇叭驱动电路放大滤波后,就会发出不同音调。 先根据要求设计硬件电路和编写相应的程序,然后进行仿真调试,最后细心 焊接硬件电路图,将程序烤入芯片中,最终达到设计目的。本系统运行稳定,其优点是硬件电路简单,软件功能完善,控制系统可靠,性价比较高等,具有一定的实用和参考价值。

课程设计简易电子琴

课程设计 课程名称 题目名称 专业班级 学生姓名 学号 指导教师 二○--年月日

目录 1 设计任务书 (1) 2 电路总体设计 (2) 3 各部分电路设计 (3) 3.1 R、C选频网络电路的设计 (3) 3.2 波形产生电路 (4) 4 Multisim仿真与数据分析 (7) 5总结 (9) 6致谢 (10) 参考文献 (11) 附录A 总原理图 (12) 附录B 系统元器件清单 (13)

1 设计任务书 设计目的 (1)熟悉数字电子技术课程设计的方法和思想 (2)熟悉仿真软件Multisim使用 (3)进一步理解555多谐振荡器在设计过程中的使用 (4)熟悉555多谐振荡器的应用 (5)熟悉简易电子琴的设计方法和过程 设计思路 (1)先查询简易电子琴的七个音(各包括低、中、高三个音)的频率 (2)再设置充电电阻和固定电容,根据公式算出每个音阶对应电阻的阻值,从而确定R C选频网络电路。 (3)用多谐振荡器产生矩形脉冲驱动蜂鸣器发出不同声音 (4)用仿真软件中的虚拟仪器示波器和频率计测量每一个音阶的波形和频率。

2 电路总体设计 本电路主要是由RC选频网络电路和555定时器构成的多谐振荡器组成。因为设计要实现电子琴dou、ruai、mi、fa、suo、la、si七个音的发声。而每一个音都对应一个频率和电阻,所以通过设计不同的电阻和电容组成R、C选频电路。每一个频率经过多谐振荡器都会产生一个矩形脉冲。因为是在仿真软件中无法实现电子琴发声,采用示波器测量矩形波形和用频率计测量每一个选频网络中对应的每一个频率,再和实际数据相比较来判定发出的声音是否准确。该电路实现了dou、ruai、mi、fa、suo、la、si(各包括低、中、高三个音)的发声仿真。实现了简易电子琴的设计。电路整体框图如下: 图2.1 基本方框图 该电路具有原理简单、容易制作、调试方便等特点。能实现二十一种频率的方波且能驱动喇叭C调的二十一个音阶。其中,稳压电源可以由电脑提供。

简易电子琴电路课程设计(模拟电路)

逗你玩 课程设计报告 课程名称:模拟电子技术课程设计 专业班级:电子信息工程(2)班 学生学号: 0705110931 学生姓名:夏柳 所属院部:信息技术学院 指导教师:王雪 20 08 ——20 09 学年第 2 学期

《模拟电子技术》课程设计报告 --------简易电子琴的制作 简易电子琴电路 摘要: 本课程设计以制作一个简易电子琴为最终结果,主要以硬件测试为主。首先进行电路分析,设计电路图,其次考虑所有可能出现的问题,完善电路图,再选择合适的器件,最后按照电路图线路搭试,调试测试,直至达到理想的目标。当然在这之前对焊点等要事先查阅资料,了解手工焊接技术;查阅有关4100芯片,741芯片的功能等参数,还有测试其芯片是否好坏的电路和方法;同时还要了解RC振荡电路,与其产生振荡的条件跟原理,选择稳幅电路,理解其稳幅的原理;当然还要计算八个音阶的产生的频率,再根据RC振荡电路计算电阻值,以便选择合适的电阻,这些都是课前准备。测试电子琴我们要一步一步的,首先是振荡电路的线路测试,其次选频电路的测试,功放电路的测试,最后再是总体测试,尽量消除噪音,使音质能够很清晰。这样电子琴我们就做好了。 关键图:

电子琴的主干图

第一部分:课前准备 1.1芯片性能指标 1.2手工焊接技术 1.3元件制作工艺 第二部分:设计方案及选定 2.1八个音阶的频率 2.2振荡电路的选择与设计 2.3八个电阻的选择 2.4稳幅方式的选择 2.5功率放大电路的设计 第三部分:简易电子琴电路的检测与误差分析 3.1芯片测试 3.2振荡电路测试 3.3电子琴的测试 第四部分:元器件清单 第五部分:心得体会 第六部分:参考文献

简易电子琴课程设计报告超详细

广州大学机械与电气工程学院 电子信息工程系 课 程 设 计 报 告 课程名称:电子技术课程设计 设计题目:简易电子琴 专业班级:电子信息工程 2 班 设计者:苏伟强 学号: 51 06 指导教师:秦剑彭绍湖

设计所在学期: 2016~2017学年第 2 学期 设计所在时间: 2014年7月6日-12日 地点: 电子信息实验楼314 315 目录 一课程设计题目 (3) 1 题目分析理解 二设计任务及要求 (3) 1 要求 2 任务安排 3 进度安排 三电路设计 (4) 1 方案论证 2 单元电路设计与数据分析 文氏桥正弦波震荡电路 LM386组成的功率放大电路 3 确认理论参数 四电路仿真............................................................................. (13)

1 multisim仿真图 2 仿真结果 3 误差分析及总结 五元器件的选择......................................................................... .. (19) 1 元件分析 1 元件清单 六 PCB设计......................................................................... ..................................................错误!未定义书签。0 1 原理图设计 2 选择封装 3 生成PCB 七制作与调试......................................................................... (22) 1 电路板的热转印,焊接元器件 2 故障排除并且接通电源 3 调试过程 4 数据记录和分析 八试验中遇到的问题 (25) 1 仿真过程遇到的问题 2 制作PCB遇到的问题 3 电路调试的时候遇到的问题 九心得体会 (26) 十参考文献............................................................................. . (27)

简易电子琴设计报告

电子技术课程设计报告 学院:电气与电子工程学院专业班级:电信班 学生姓名: 指导教师: 完成时间:2013 . 7 . 4 成绩:

简易电子琴设计报告 一. 设计要求 本设计是基于学校实验室的环境,根据实验室提供的实验条件来完成设计任务,设计一个简易电子琴。 (1).按下不同琴键即改变 RC值,能发出C调的八个基本音阶,采用运算放大器构成振荡电路,用集成功放电路输出。 (2).选择电路方案,完成对确定方案电路的设计。计算电路元件参数并记录对应不同音阶时的电路参数值、元件选择、并画出总体电路原理图,阐述基本原理。 (3).连接安装调试电路。 (4).写出设计总结报告。 二.设计条件 实验室为该设计提供的仪器设备和主要元器件如下: 电脑模拟、数字电子技术实验箱一台 集成运算放大器实验插板两块 直流稳压电源一台 数字万用表一块 主要元器件运放μA741、电阻、电容、导线等 电脑模拟、数字电子技术实验箱上有喇叭、三极管以及芯片的插座;集成运算放大器实验插板上有不同参数值的电阻和电容,可任意选用。 三. 设计的作用、目的 1.学会用仿真软件对设计的原理图进行仿真。培养创新能力和创新思维, 锻炼学生自学软件的能力,通过查阅手册和文献资料,培养独立分 析问题和解决问题的能力。 2.培养学生正确的设计思想,理论联系实际的工作作风,严肃认真、实事

求是的科学态度和勇于探索的创新精神。 3.通过课程设计,使学生在理论计算、结构设计、工程绘图、查阅设计资 料、标准与规范的运用和计算机应用方面的能力得到训练和提高。 4.掌握电子电路的一般设计方法,了解电子产品研制开发过程,巩固、 深化和扩展学生的理论知识与初步的专业技能。 5.为今后从事电子技术领域的工程设计打好基础基本要求。 四.设计的具体实现 1.系统概述 本课程设计采用模拟电路中的RC正弦振荡原理。设计出的电子琴音阶频率满足国际标准,La调频率满足国际标准音C调频率440 Hz。模拟电路中的RC 正弦波振荡电路具有一定的选频特性,乐声中的各音阶频率也是以固定的声音频率为机理的。 简易电子琴是由RC选频网络、集成运算放大器、功率放大电路组成。其框图如图下所示: 其核心是集成运算放大器构成RC正弦波振荡器,实验板上提供了8个音节电阻和电容(C串=C并=0.068μf固定) 构成RC串并联选频网络,分别取不同的电阻值(通过琴键开关接通RC串并联网络的8对电阻)使振荡器产生八个音阶信号。最后,通过扬声器发出乐音。 2.单元电路设计(仿真)与分析 (1)八个音阶的频率 设计电子琴,就要进行八个音阶的调试。查阅资料得知C调各音的振荡频率如下表。

简易电子琴实验报告

北京邮电大学 课题名称:简易电子琴的设计和制作学院:信息与通信工程学院 专业:信息工程 班级:2014211126 姓名:李家威 学号:2014210691 班内序号:10

指导老师:王丹志 一、摘要及关键字 本课程设计以制作出一个简易电子琴为最终目的。该电子琴以NE555为核心,通过公式计算不同频率按键对应的阻值来实现不同的音调,然后通过运算放大器将信号放大并通过喇叭发出声音。由此设计仿真电路图,选择合适器件进行电路搭建,并进行调试直至达到要求,最后进行数据统计。 关键字:电子琴振荡电路运算放大器 二、设计任务及要求 了解由555定时器构成简易电子琴的电路及原理。设计并利用NE555集成运算电路以及外加电阻,电容在第一级产生不同频率的音乐,再利用LM386功率放大电路对音乐信号进行放大,最后通过扬声器产生21个音符。

三、设计思路、总体结构框图 设计思路 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成施密特触发器、单稳态触发器及多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。由555定时器电路组成的多谐振荡器,它的振荡频率可以通过改变振荡电路中的RC原件的数值进行改变。根据这一原理,通过设定一些不同的RC数值并通过控制电路,按照一定的速度依次将不同的RC组件接入振荡电路,就可以使振荡电路按照设定的要求,有节奏的发射已设定的音频信号与音乐。

总体结构框图 四、分块电路和总体电路的设计分块电路: 琴键端(开关、电阻)

基于FPGA的简易电子琴设计

课程设计任务书

开题报告

皖西学院本科毕业论文(设计)中期检查表

简易电子琴的设计 学生姓名:王春指导老师:郑大腾 摘要 本系统是采用EDA技术设计的一个简易的八音符电子琴,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。多功能电子琴的设计是在原有普通电子琴的基础上进行扩充的一个设计。该电子琴的设计大体可以由三个模块构成,分别是电子琴发声模块、存储器模块和选择控制模块。用超高速硬件描述语言VHDL编程可以实现各个模块的功能。不仅能实现弹琴和演奏的功能,它还能实现“复读”的功能,就是可以存储任意一段音乐,并且可以即时的播放出来。系统实现是用硬件描述语言VHDL 按照模块化方式进行设计,然后进行编程、时序仿真、总体整合。本系统的功能比较齐全,有一定的现实使用的价值。本文中介绍了电子琴系统的整体的设计,并基于超高速硬件描述语言VHDL在相关的芯片上编程实现的。 关键字 电子琴;EDA;VHDL;音调发生;现场可编程逻辑器件FPGA;超高速硬件描述语言VHDL;电子琴系统; Abstract This system is designed using EDA technology a simple eight-note keyboard, the system clock divider based on the principle of the computer, using top-down design methodology to implement, it can be controlled through the key input audio. Multi-function keyboard is designed to be an ordinary keyboard in the original expansion on the basis of a design. The design of the keyboard in general consists of three modules, namely the keyboard sound

简易电子琴设计与实现

简易电子琴设计与实现 一、概述 1.1 课题设计目的及其意义 单片机(单片微型计算机)是大规模集成电路技术发展的产物,具有高性能、高速度、体积小、价格低廉、稳定可靠等特点。单片机的应用相当广泛,从平常的家用电器到航空航天系统和国防军事、尖端武器都能找到它的身影。因此,单片机的开发应用已成为高科技和工程领域的一项重大课题。 随着社会的发展进步,人们的生活水平也逐步提高,音乐已经成为了我们生活中很重要的一部分,在工作和学习之余,欣赏音乐不仅使身心得到放松,同时也提高人们的精神品质和个人素养。当代,爱好音乐的年轻人越来越多,也有不少人自己练习弹奏乐器,作为业余爱好和一种放松的手段,鉴于一些乐器学习难度大需花费太多精力,且其价格太过于高昂,使得一部分有这种想法的人不得不放弃这种想法,而电子琴又是一种新型的键盘乐器,它是现代电子科技与音乐结合的产物,价格相对便宜,能够满足一般爱好者的需求,因此,在现代音乐中扮演着重要的角色。故简易电子琴的研制具有一定的社会意义。 1.2 课题设计的任务与主要内容 本文的主要内容是用AT89C51单片机为核心控制元件,设计一个简单的电子琴。以单片机作为主控核心,与键盘、扬声器等模块组成核心主控制模块,在主控模块上设有16个按键和扬声器。定时器按设置的定时参数产生中断,由于定时参数不同,就会发出不同频率的脉冲,不同频率的脉冲经喇叭驱动电路放大滤波后,就会发出不同音调。 先根据要求设计硬件电路和编写相应的程序,然后进行仿真调试,最后细心焊接硬件电路图,将程序烤入芯片中,最终达到设计目的。本系统运行稳定,其优点是硬件电路简单,软件功能完善,控制系统可靠,性价比较高等,具有一定的实用和参考价值。 具体实现的功能:按下音符键可以发出相应的音符。

北京交通大学简易电子琴课程设计报告

北京交通大学简易电子琴课程设计报 告

一、设计要求与任务: 1.学习调试电子电路的方法,提高实际动手能力。 2.了解由555定时器构成简易电子琴的电路及原理。 二、总体框图: 、 三、预备知识: 1.555定时器的相关知识 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积很小,使用起来方便。只要在外部配上几个适当的阻容元件,就能够构成单稳态触发器、自激多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。 555定时器的内部电路框图及逻辑符号和管脚排列分别如图所示:

由555定时器构成的多谐振荡器如图1所示,R1,R2和C是外接定时元件,电路中将高电平触发端(6脚)和低电平触发端(2脚)并接后接到R2和C的连接处,将放电端(7脚)接到 R1,R2的连接处。 由于接通电源瞬间,电容C来不及充电,电容器两端电压uc 为低电平,小于(1/3)Vcc,故高电平触发端与低电平触发端均为低电平,输出uo为高电平,放电管VT截止。这时,电源经 R1,R2对电容C充电,使电压uc按指数规律上升,当uc上升到(2/3)Vcc时,输出uo为低电平,放电管VT导通,把uc从 (1/3)Vcc 上升到(2/3)Vcc这段时间内电路的状态称为第一暂稳态,其维持时间TPH的长短与电容的充电时间有关。充电时间常数 T充=(R1+R2)C。

由于放电管VT导通,电容C经过电阻R2和放电管放电,电路进人第二暂稳态.其维持时间TPL的长短与电容的放电时间有关,放电时间常数T放=R2C0随着C的放电,uc下降,当uc下降到(1/3)Vcc时,输出uo。为高电平,放电管VT截止,Vcc再次对电容c充电,电路又翻转到第一暂稳态。不难理解,接通电源后,电路就在两个暂稳态之间来回翻转,则输出可得矩形波。电路一旦起振后,uc电压总是在(1/3~2/3)Vcc 之间变化。图1(b)所示为工作波形。 图1 555定时器构成的多谐振荡器电路及工作波形 【逻辑功能】 RST TH TR OUT 0 X X 0 1 >2/3VCC >1/3VCC 0

相关文档
相关文档 最新文档