文档库 最新最全的文档下载
当前位置:文档库 › 计算机组成原理试题及答案

计算机组成原理试题及答案

计算机组成原理试题及答案
计算机组成原理试题及答案

计算机组成原理试题及答案

计算机组成原理试题及答案

导语:计算机组成原理主要是学习计算机的基本组成原理和内部工作机制,下面是小编收集整理的计算机组成原理试题及答案,欢迎参考!一、选择题(共20分,每题1分) 1.零地址运算指令在指令格式中不给出操作数地址,它的操作数来自______。 A.立即数和栈顶; B.暂存器; C.栈顶和次栈顶; D.累加器。 2.______可区分存储单元中存放的是指令还是数据。 A.存储器; B.运算器; C.控制器; D.用户。3.所谓三总线结构的计算机是指______。 A.地址线、数据线和控制线三组传输线。 B.I/O总线、主存总统和DMA总线

三组传输线; C.I/O总线、主存总线和系统总线三组传输线;D.设备总线、主存总线和控制总线三组传输线.。 4.某计算机字长是32位,它的存储容量是256KB,按字编址,它的寻

址范围是______。 A.128K; B.64K; C.64KB; D.128KB。5.主机与设备传送数据时,采用______,主机与设备是串行工作的。 A.程序查询方式; B.中断方式; C.DMA方式;D.通道。 6.在整数定点机中,下述第______种说法是正确的。

A.原码和反码不能表示 -1,补码可以表示 -1;

B.三种机器数

均可表示 ; C.三种机器数均可表示 -1,且三种机器数的

表示范围相同; D.三种机器数均不可表示。7.变址寻址

方式中,操作数的有效地址是______。 A.基址寄存器内容加

上形式地址(位移量); B.程序计数器内容加上形式地址; C.变址寄存器内容加上形式地址; D.以上都不对。8.向量中断是______。 A.外设提出中断; B.由硬件形成中断服务

程序入口地址; C.由硬件形成向量地址,再由向量地址找

到中断服务程序入口地址 D.以上都不对。9.一个节拍信号的宽度是指______。 A.指令周期; B.机器周期; C.时钟周期; D.存储周期。10.将微程序存储在EPROM中的控

制器是______控制器。 A.静态微程序; B.毫微程序; C.动态微程序; D.微程序。11.隐指令是指______。 A.操

作数隐含在操作码中的指令; B.在一个机器周期里完成全

部操作的指令; C.指令系统中已有的指令; D.指令系统中没有的指令。12.当用一个16位的二进制数表示浮点数时,下列方案中第_____种最好。 A.阶码取4位(含阶符1位),尾数取12位(含数符1位); B.阶码取5位(含阶符1位),尾数取11位(含数符1 位); C.阶码取8位(含阶符1位),尾数取8位(含数符1位); D.阶码取6位(含阶符1位),尾数取12位(含数符1位)。13.DMA方式______。A.既然能用于高速外围设备的信息传送,也就能代替中断方式;

B.不能取代中断方式;

C.也能向CPU请求中断处理数据传送;

D.内无中断机制。14.在中断周期中,由______将允许中断触发器置“0”。 A.关中断指令; B.机器指令; C.开中断指令; D.中断隐指令。15.在单总线结构的CPU

中,连接在总线上的多个部件______。 A.某一时刻只有一个可以向总线发送数据,并且只有一个可以从总线接收数据;

B.某一时刻只有一个可以向总线发送数据,但可以有多个同时从总线接收数据;

C.可以有多个同时向总线发送数据,并且可以有多个同时从总线接收数据;

D.可以有多个同时向总线发送数据,但可以有一个同时从总线接收数据。16.三种集中式总线控制中,______方式对电路故障最敏感。 A.链式查询; B.计数器定时查询; C.独立请求; D.以上都不对。17.一个16K×8位的存储器,其地址线和数据线的总和是

______。 A.48; B.46; C.17; D.22. 18.在间址周期中,______。 A.所有指令的间址操作都是相同的; B.凡是存储器间接寻址的指令,它们的操作都是相同的; C.对于存储器间接寻址或寄存器间接寻址的指令,它们的操作是不同的; D.以上都不对。19.下述说法中______是正确的。 A.EPROM是可改写的,因而也是随机存储器的一种;

B.EPROM是可改写的,但它不能用作为随机存储器用;

C.EPROM只能改写一次,故不能作为随机存储器用;

D.EPROM

是可改写的,但它能用作为随机存储器用。20.打印机的分类方法很多,若按能否打印汉字来区分,可分为______。A.并行式打印机和串行式打印机; B.击打式打印机和非击打式打印机; C.点阵式打印机和活字式打印机;D.激光打印机和喷墨打印机。二、填空(共20分,每空1分) 1.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为 A ,最小正数为,最大负数为 2.指令寻址的基本方式有两种,一种是寻址方式,其指令地址由出,另一种是C 寻址方式,其指令地址由 D 给出。 3.在一个有四个过程段的浮点加法器流水线中,假设四个过程段的时间分别是

T1 = 60ns﹑T2 = 50ns﹑T3 = 90ns﹑T4 = 80ns。则加法器流水线的时钟周期至少为。如果采用同样的逻辑电路,但不是流水线方式,则浮点加法所需的时间为 B 。 4.一个浮点数,当其尾数右移时,欲使其值不变,阶码必须1位,阶码。

5.存储器由m(m=1,2,4,8?)个模块组成,每个模块有自己的寄存器,若存储器采用编址,存储器带宽可增加到原来的D 倍。

6.按序写出多重中断的中断服务程序包括三、名词解释(共10分,每题2分) 1.微操作命令和微操作2.快速缓冲存储器 3.基址寻址 4.流水线中的多发技术5.指令字长四、计算题(5分) 设机器数字长为8位

(含1位符号位),设A=139,B=?,计算[A?B]补,并还原成6432真值。五、简答题(共20分) 1.异步通信与同步通信的主要区别是什么,说明通信双方如何联络。(4分)

2.为什么外围设备要通过接口与CPU相连?接口有哪些功能?(6分) 六、问答题(共15分) 1.设CPU中各部件及其相互连接关系如下图所示。图中W是写控制标志,R是读控制标志,R1和R2是暂存器。(8分) (1)假设要求在取指周期由ALU完成(PC) + 1→PC的操作(即ALU可以对它的一个源操作数完成加1的运算)。要求以最少的节拍写出取指周期全部微操作命令及节拍安排。(2)写出指令ADD # α(#为立即寻址特征,隐含的操作数在ACC中)在执行阶段所需的微操作命令及节拍安排。 2.DMA接口主要由哪些部件组成?在数据交换过程中它应完成哪些功能?画出DMA工作过程的流程图(不包括预处理和后处理) 七、设计题(10分) 设CPU共有16根地址线,8根数据线,并用MREQ作访存控制信号(低电平有效),用WR作读写控制信号(高电平为读,低电平为写)。现有下列芯片及各种门电路(门电路自定),如图所示。画出CPU与存储器的连接图,要求:(1)存储芯片地址空间分配为:最大4K地址空间为系统程序区,相邻的4K地址空间为系统程序工作区,最小16K地址空间为用户程序区; (2)指出选用的存储芯片类型及数量; (3)详细画出片选逻辑。

DnD0DnD0 74138译码器G1,G G 2A,2B为控制端C, B, A 为变量控制端 ?? YY0为输出端7 ROM: 2K×8位

8K×8位32K×8位RAM: 1K×4位2K×8位8K×8位16K×1位4K×4位(1)主存地址空间分配:6000H~67FFH为系统程序区; 6800H~6BFFH为用户程序区。(2)合理选用上述存储芯片,说明各选几片? (3)详细画出存储芯片的片选逻辑图。答案:一、选择题(共20分,每题1分) 1.C 2.C 3.B 4.B 5.A 6.B 7.C 8.C 9.C 10.A 11.D 12.B 13.B 14.D 15.B 16.A 17.D 18.C 19.B 20.C 二、填空(共20分,每空1分) 1.A.A.2127(1-223) B.2129

C.2128(-21-223)

D.-2127 2.A. 顺序 B.程序计数器 C.跳跃

D. 指令本身 3.A.90ns B.280ns 4.A.A.增加 B.加1 5.A.地址 B.数据 C.模m D.m 6.A.保护现场 B.开中断 C.设备服务D.恢复现场三、名词解释(共10分,每题2分) 1.微操作命令和微操作答:微操作命令是控制完成微操作的命令;微操作是由微操作命令控制实现的最基本操作。 2.快速缓冲存储器答:快速缓冲存储器是为了提高访存速度,在CPU和主存之间增设的高速存储器,它对用户是透明的。只要将CPU最近期需用的信息从主存调入缓存,这样CPU每次只须访问快速缓存就可达到访问主存的目的,从而提高了访存速度。 3.基址寻址答:基址寻址有效地址等于形式地址

加上基址寄存器的内容。 4.流水线中的多发技术答:为了提高流水线的性能,设法在一个时钟周期(机器主频的倒数)内产生更多条指令的结果,这就是流水线中的多发技术。

5.指令字长答:指令字长是指机器指令中二进制代码的总位数。四、(共5分) 计算题答:[A+B]补

=1.1011110, A+B =(-17/64) [A-B]补=1.1000110, A-B =(35/64) 五、简答题(共20分) 1.(4分)答:同步通信和异步通信的主要区别是前者有公共时钟,总线上的所有设备按统一的时序,统一的传输周期进行信息传输,通信双方按约定好的.时序联络。后者没有公共时钟,没有固定的传输周期,采用应答方式通信,具体的联络方式有不互锁、半互锁和全互锁三种。不互锁方式通信双方没有相互制约关系;半互锁方式通信双方有简单的制约关系;全互锁方式通信双方有完全的制约关系。其中全互锁通信可靠性最高。 2.(6分,每写出一种给1分,最多6分) 答:外围设备要通过接口与CPU相连的原因主要有:(1)一台机器通常配有多台外设,它们各自有其设备号(地址),通过接口可实现对设备的选择。(2)I/O设备种类繁多,速度不一,与 CPU速度相差可能很大,通过接口可实现数据缓冲,达到速度匹配。(3)I/O设备可能串行传送数据,而CPU一般并行传送,通过接口可实现数据串并格式转换。(4)I/O设备的入/出电平

可能与CPU的入/出电平不同,通过接口可实现电平转换。(5)CPU启动I/O设备工作,要向外设发各种控制信号,通过

接口可传送控制命令。(6)I/O设备需将其工作状况(“忙”、“就绪”、“错误”、“中断请求”等)及时报告CPU,通过接口可监视设备的工作状态,并保存状态信息,供CPU查询。可见归纳起来,接口应具有选址的功能、传送

命令的功能、反映设备状态的功能以及传送数据的功能(包括

缓冲、数据格式及电平的转换)。 4.(5分)答:(1)根

据IR和MDR均为16位,且采用单字长指令,得出指令字长

16位。根据105种操作,取操作码7位。因允许直接寻址和

间接寻址,且有变址寄存器和基址寄存器,因此取2位寻址特征,能反映四种寻址方式。最后得指令格式为:7 2 7

其中 OP 操作码,可完成105种操作; M 寻址特征,可反

映四种寻址方式; AD形式地址。这种格式指令可直接寻

址27 = 128,一次间址的寻址范围是216 = 65536。(2)

双字长指令格式如下:7 2 7 其中 OP、M的含义同上; AD1∥AD2为23位形式地址。这种格式指令可直接寻址的

范围为223 = 8M。(3)容量为8MB的存储器,MDR为16

位,即对应4M×16位的存储器。可采用双字长指令,直接访

问4M存储空间,此时MAR取22位;也可采用单字长指令,但RX和RB取22位,用变址或基址寻址访问4M存储空间。

六、 (共15分)问答题 1.(8分)答:(1)由于 (PC) + 1→PC需由ALU完成,因此PC的值可作为ALU的一个源操作数,靠控制ALU做+1运算得到 (PC) + 1,结果送至与ALU输出端相连的R2,然后再送至PC。此题的关键是要考虑总线冲突的问题,故取指周期的微操作命令及节拍安排如下:

T0 PC→MAR,1→R T1 M(MAR)→MDR,(PC) + 1→R2T2 MDR→IR,OP(IR)→微操作命令形成部件T3 R2→PC(2)立即寻址的加法指令执行周期的微操作命令及节拍安排如下:

T0 Ad(IR)→R1 ;立即数→R1T1 (R1) + (ACC)→R2 ;ACC 通过总线送ALU T2 R2→ACC ;结果→ACC 2.(7分)答:DMA 接口主要由数据缓冲寄存器、主存地址计数器、字计数器、设备地址寄存器、中断机构和DMA控制逻辑等组成。在数据交换过程中,DMA接口的功能有:(1)向CPU提出总线请求信号;(2)当CPU发出总线响应信号后,接管对总线的控制;(3)向存储器发地址信号(并能自动修改地址指针);(4)向存储器发读/写等控制信号,进行数据传送;(5)修改字计数器,并根据传送字数,判断DMA传送是否结束;(6)发DMA结束信号,向CPU申请程序中断,报告一组数据传送完毕。DMA工作过程流程如图所示。

计算机组成原理 试卷含答案

湖南师范大学2012—2013学年第一学期信息与计算科学专业2011年级期末/ 补考/重修课程 计算机组成原理考核试题 出卷人:毛禄广 课程代码:考核方式: 考试时量:分钟试卷类型:A/B/C/D 一、单选题(30分,每题2分) 1. 算术逻辑单元的简称为()B A、CPU。 B、ALU。 C、CU。 D、MAR。 2. EPROM是指()D A.读写存储器 B.只读存储器 C.闪速存储器 D.可擦除可编程只读存储器 3. 异步通信的应答方式不包括()D A、不互锁 B、半互锁 C、全互锁 D、以上都不包括 4. 三种集中式总线仲裁中,______方式对电路故障最敏感。A A、链式查询 B. 计数器定时查询 C. 独立请求D、以上都不正确 5. 下面说法正确的是:()B A、存储系统层次结构主要体现在缓存-主存层次上; B、缓存-主存层次主要解决CPU和主存速度不匹配的问题; C、主存和缓存之间的数据调动对程序员也是不透明的; D、主存和辅存之间的数据调动由硬件单独完成。 6. 动态RAM的刷新不包括( ) D A、集中刷新 B、分散刷新 C、异步刷新 D、同步刷新 7. 关于程序查询方式、中断方式、DMA方式说法错误的是()D A、程序查询方式使CPU和I/O设备处于串行工作状态,CPU工作效率不高; B、中断方式进一步提高了CPU的工作效率; C、三者中DMA方式中CPU的工作效率最高; D、以上都不正确。 第 1 页共5 页 8. 发生中断请求的条件不包括()D A.一条指令执行结束 B.一次I/O操作结束 C.机器内部发生故障 D.一次DMA操作结束 9. DMA的数据传送过程不包括()A A、初始化 B、预处理 C、数据传送 D、后处理 10. 下列数中最大的数为()B A.(10010101)2 B.(227)8 C.(96)8 D.(143)5 11. 设32位浮点数中,符号位为1位,阶码为8位,尾数位为23位,则它所能表示的最大规.格化正数为()B A +(2 – 2-23)×2+127B.[1+(1 – 2-23)]×2+127 C.+(2 – 223)×2+255D.2+127 -223 12. 定点运算中,现代计算机都采用_______做加减法运算。()B A、原码 B、补码 C、反码 D、移码 13._________中乘积的符号位在运算过程中自然形成。()C A、原码一位乘 B、原码两位乘 C、补码一位乘 D、以上都不是 14.设x为真值,x*为绝对值,则[-x*]补=[-x]补能否成立()C A、一定成立 B、不一定成立 C、不能成立 D、以上都不正确 15. 最少可以用几位二进制数即可表示任一5位长的十进制整数。()A A、17 B、16 C、15 D、18 二、填空题(共10分,每题1分) 1.总线控制分为判优控制和________。(通信控制) 2.总线通信常用四种方式________、异步通信、半同步通信、分离式通信。(同步通信) 3.按在计算机系统中的作用不同,存储器主要分为主存储器、辅助存储器、_________。(缓冲存 储器) 4.随机存取存储器按其存储信息的原理不同,可分为静态RAM和__________。(动态RAM) 5.I/O设备与主机交换信息的五种控制方式是程序查询方式、中断方式和、_________、I/O通道方 式和I/O处理机方式。(DMA方式) 6.程序查询方式中为了完成查询需要执行的三条指令分别为测试指令、________、转移指令。(传 送指令) 7.浮点数由阶码和________两部分组成。(尾数) 8.二进制数-0.1101的补码为__________。(10011) 9._______是补码一位乘中乘数符号为负时的方法。(校正法)

计算机组成原理期末试题

第一章计算机系统概论 计算机的硬件是由有形的电子器件等构成的,它包括运算器、存储器、控制器、适配器、输入输出设备。早起将运算器和控制器合在一起称为CPU(中央处理器)。目前的CPU包含了存储器,因此称为中央处理器。存储程序并按地址顺序执行,这是冯·诺依曼型计算机的工作原理,也是CPU自动工作的关键。 计算机系统是一个有硬件、软件组成的多级层次结构,它通常由微程序级、一般程序级、操作系统级、汇编语言级、高级语言级组成,每一级上都能进行程序设计,且得到下面各级的支持。 习题:4冯·诺依曼型计算机的主要设计思想是什么?它包括那些主要组成部分? 主要设计思想是:存储程序通用电子计算机方案,主要组成部分有:运算器、逻辑控制装置、存储器、输入和输出设备 5什么是存储容量?什么是单元地址?什么是数据字?什么是指令字? 存储器所有存储单元的总数称为存储器的存储容量。每个存储单元都有编号,称为单元地址。如果某字代表要处理的数据,称为数据字。如果某字为一条指令,称为指令字 7指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 每一个基本操作称为一条指令,而解算某一问题的一串指令序列,称为程序 第二章运算方法和运算器 按 对阶操作。

直接使用西文标准键盘输入汉字,进行处理,并显示打印汉字,是一项重大成就。为此要解决汉字的输入编码、汉字内码、子模码等三种不同用途的编码。 1第三章 内部存储器 CPU 能直接访问内存(cache 、主 存) 双端口存储器和多模块交叉存储器属于并行存储器结构。 cache 是一种高速缓冲存储器,是为了解决CPU 和主存之间速度不匹配而采用的一项重要的硬件技术,并且发展为多级cache 体系,指令cache 与数据cache 分设体 系。要求cache 的命中率接近于1 适度地兼顾了二者的优点又尽量避免其缺点,从灵活性、命中率、硬件投资来说较为理想,因而得到了普遍采用。 习题: 1设有一个具有20位地址和32位字长的存储器,问: (1)该存储器能存储多少个字节的信息? (2)如果存储器由512K ×8位SRAM 芯片组成,需要多少片; (3)需要多少位地址做芯片选择? (1)字节M 4832*220= (2)片84*28 *51232*1024==K K (3)1位地址作芯片选择 2 已知某64位机主存采用半导体存储器,其地址码为26位,若使用4M ×8位DRAM 芯片组成该机所允许的最大主存空间,并选用内存条结构形式,问: (1) 若每个内存条16M ×64位,共需几个内存条? (2)每个内存条共有多少DRAM 芯片? (3)主存共需多少DRAM 芯片?CPU 如何选

计算机组成原理课后习题答案解析

作业解答 第一章作业解答 1.1 基本的软件系统包括哪些内容? 答:基本的软件系统包括系统软件与应用软件两大类。 系统软件是一组保证计算机系统高效、正确运行的基础软件,通常作为系统资源提供给用户使用。包括:操作系统、语言处理程序、数据库管理系统、分布式软件系统、网络软件系统、各种服务程序等。 1.2 计算机硬件系统由哪些基本部件组成?它们的主要功能是什么? 答:计算机的硬件系统通常由输入设备、输出设备、运算器、存储器和控制器等五大部件组成。 输入设备的主要功能是将程序和数据以机器所能识别和接受的信息形式输入到计算机内。 输出设备的主要功能是将计算机处理的结果以人们所能接受的信息形式或其它系统所要求的信息形式输出。 存储器的主要功能是存储信息,用于存放程序和数据。 运算器的主要功能是对数据进行加工处理,完成算术运算和逻辑运算。 控制器的主要功能是按事先安排好的解题步骤,控制计算机各个部件有条不紊地自动工作。 1.3 冯·诺依曼计算机的基本思想是什么?什么叫存储程序方式? 答:冯·诺依曼计算机的基本思想包含三个方面: 1) 计算机由输入设备、输出设备、运算器、存储器和控制器五大部件组成。 2) 采用二进制形式表示数据和指令。 3) 采用存储程序方式。 存储程序是指在用计算机解题之前,事先编制好程序,并连同所需的数据预先存入主存储器中。在解题

过程(运行程序)中,由控制器按照事先编好并存入存储器中的程序自动地、连续地从存储器中依次取出指令并执行,直到获得所要求的结果为止。 1.4 早期计算机组织结构有什么特点?现代计算机结构为什么以存储器为中心? 答:早期计算机组织结构的特点是:以运算器为中心的,其它部件都通过运算器完成信息的传递。 随着微电子技术的进步,人们将运算器和控制器两个主要功能部件合二为一,集成到一个芯片里构成了微处理器。同时随着半导体存储器代替磁芯存储器,存储容量成倍地扩大,加上需要计算机处理、加工的信息量与日俱增,以运算器为中心的结构已不能满足计算机发展的需求,甚至会影响计算机的性能。为了适应发展的需要,现代计算机组织结构逐步转变为以存储器为中心。 1.5 什么叫总线?总线的主要特点是什么?采用总线有哪些好处? 答:总线是一组可为多个功能部件共享的公共信息传送线路。 总线的主要特点是共享总线的各个部件可同时接收总线上的信息,但必须分时使用总线发送信息,以保证总线上信息每时每刻都是唯一的、不至于冲突。 使用总线实现部件互连的好处: ①可以减少各个部件之间的连线数量,降低成本; ②便于系统构建、扩充系统性能、便于产品更新换代。 1.6 按其任务分,总线有哪几种类型?它们的主要作用是什么? 答:按总线完成的任务,可把总线分为:CPU内部总线、部件内总线、系统总线、外总线。 1.7 计算机的主要特点是什么? 答:计算机的主要特点有:①能自动连续地工作;②运算速度快;③运算精度高;④具有很强的存储能力

计算机组成原理2003秋试卷

哈工大 2003 年 秋 季学期 题号 一 二 三 四 五 六 七 八 九 十 总分 分数 一、 填空题(24分) 1.DMA 的数据块传送可分为 、 和 阶段。 2.设 n = 16 (不包括符号位),机器完成一次加和移位各需100ns ,则原码一位乘最多需 ns,补码Booth 算法最多需 ns 。 3.设相对寻址的转移指令占2个字节,第一字节为操作码,第二字节是位移量(用补码表示),每当CPU 从存储器取出一个字节时,即自动完成(pc )+ 1→ pc 。设当前指令地址为3008H ,要求转移到300FH ,则该转移指令第二字节的内容应为 。若当前指令地址为300FH ,要求转移到3004H ,则该转移指令第二字节的内容为 。 4.设浮点数阶码为8位(含1位阶符),用移码表示,尾数为24位(含1位数符),用补码规格化表示,则对应其最大正数的机器数形式为 ,真值为 (十进制表示); 对应其绝对值最小负数的机器数形式为 ,真值为 (十进制表示)。 5.利用 指令进行输入输出操作的I/O 编址方式为统一编址。 班号 姓名 计算机组成原理 试 题

6.一个组相联映像的Cache,有128块,每组4块,主存共有16384块,每块64个字,则主存地址共位,其中主存字块标记应为位,组地址应为位,Cache地址共位。 7.和组成存储系统的层次结构。 8.在总线集中式判优控制中,方式对故障很敏感, 方式速度最快。对于同步通信而言,影响其效率的主要因素是,它一般用于场合。 二、解释下列概念(20分) 1.机器周期和时钟周期 2.周期挪用和向量地址 3.中断隐指令及其功能 4.双重分组跳跃进位

(完整版)计算机组成原理期末考试试题及答案

计算机组成原理试题 一、选择题(共20分,每题1分) 1.零地址运算指令在指令格式中不给出操作数地址,它的操作数来自____C__。 A.立即数和栈顶; B.暂存器; C.栈顶和次栈顶; D.累加器。 2.___C___可区分存储单元中存放的是指令还是数据。 A.存储器; B.运算器; C.控制器; D.用户。 3.所谓三总线结构的计算机是指_B_____。 A.地址线、数据线和控制线三组传输线。 B.I/O总线、主存总统和DMA总线三组传输线; C.I/O总线、主存总线和系统总线三组传输线; D.设备总线、主存总线和控制总线三组传输线.。 4.某计算机字长是32位,它的存储容量是256KB,按字编址,它的寻址范围是_____B_。 A.128K; B.64K; C.64KB; D.128KB。 5.主机与设备传送数据时,采用___A___,主机与设备是串行工作的。 A.程序查询方式; B.中断方式; C.DMA方式; D.通道。 6.在整数定点机中,下述第___B___种说法是正确的。 A.原码和反码不能表示-1,补码可以表示-1; B.三种机器数均可表示-1; C.三种机器数均可表示-1,且三种机器数的表示范围相同; D.三种机器数均不可表示-1。 7.变址寻址方式中,操作数的有效地址是___C___。 A.基址寄存器内容加上形式地址(位移量); B.程序计数器内容加上形式地址; C.变址寄存器内容加上形式地址; D.以上都不对。 8.向量中断是___C___。 A.外设提出中断; B.由硬件形成中断服务程序入口地址; C.由硬件形成向量地址,再由向量地址找到中断服务程序入口地址

计算机组成原理试卷与答案

一、选择题 1.假定下列字符码中有奇偶校验位,但没有数据错误,采用偶校校 验的字符码是______。 A 11001011 B 11010110 C 11000001 D 11001001 2.8位定点字长的字,采用2的补码表示时,一个字所能表示的整 数范围是______。 A .–128 ~ +127 B. –127 ~ +127 C. –129 ~ +128 D.-128 ~ +128 3.下面浮点运算器的描述中正确的句子是:______。 a)浮点运算器可用阶码部件和尾数部件实现 b)阶码部件可实现加、减、乘、除四种运算 c)阶码部件只进行阶码相加、相减和比较操作 d)尾数部件只进行乘法和减法运算 4.某计算机字长16位,它的存贮容量是64KB,若按字编址,那 么它的寻址范围是______ A. 64K B. 32K C. 64KB D. 32 KB 5.双端口存储器在______情况下会发生读/写冲突。 a)左端口与右端口的地址码不同 b)左端口与右端口的地址码相同 c)左端口与右端口的数据码不同 d)左端口与右端口的数据码相同

6.寄存器间接寻址方式中,操作数处在______。 A. 通用寄存器 B. 主存单元 C. 程序计数器 D. 堆栈 7.微程序控制器中,机器指令与微指令的关系是______。 a)每一条机器指令由一条微指令来执行 b)每一条机器指令由一段微指令编写的微程序来解释执行 c)每一条机器指令组成的程序可由一条微指令来执行 d)一条微指令由若干条机器指令组 8.按其数据流的传递过程和控制节拍来看,阵列乘法器可认为是 ______。 a)全串行运算的乘法器 b)全并行运算的乘法器 c)串—并行运算的乘法器 d)并—串型运算的乘法器 9.由于CPU内部的操作速度较快,而CPU访问一次主存所花的 时间较长,因此机器周期通常用______来规定。 a)主存中读取一个指令字的最短时间 b)主存中读取一个数据字的最长时间 c)主存中写入一个数据字的平均时间 d)主存中读取一个数据字的平均时间 10.程序控制类指令的功能是______。 A 进行算术运算和逻辑运算 B 进行主存与CPU之间的数据传送

计算机组成原理期末考试

计算机组成原理试题 一、选择题 ( c )1、在下列四句话中,最能准确反映计算机主要功能的是下面哪项。 A.计算机可以存储大量信息 B.计算机能代替人的脑力劳动 C.计算机是一种信息处理机 D.计算机可实现高速运算 ( c )2、计算机硬件能直接执行的只能是下面哪项。 A.符号语言 B.汇编语言 C.机器语言 D.机器语言和汇编语言 ( c )3、运算器的核心部件是下面哪项。 A.数据总线 B.数据选择器 C.算术逻辑运算部件 D.累加寄存器 ( c )4、对于存储器主要作用,下面哪项说法正确。 A.存放程序 B.存放数据 C.存放程序和数据 D.存放微程序 ( c )5、至今为止,计算机中所含所有信息仍以二进制方式表示,其原因是下面哪项。 A.节约元件 B.运算速度快 C.物理器件性能决定 D.信息处理方便( a )6、CPU中有若干寄存器,其中存放存储器中数据的寄存器是下面哪项。 A.地址寄存器 B.程序计数器 C.数据寄存器 D.指令寄存器(d?)7、CPU中有若干寄存器,其中存放机器指令的寄存器是下面哪项。 A.地址寄存器 B.程序计数器 C.指令寄存器 D.数据寄存器 ( c )8、CPU中有若干寄存器,存放CPU将要执行的下一条指令地址的寄存器是下面哪项。 A.地址寄存器 B.数据寄存器 C.程序计数器 D.指令寄存器 (c)9、CPU中程序状态寄存器中的各个状态标志位是依据下面哪项来置位的。 A.CPU已执行的指令 B.CPU将要执行的指令 C.算术逻辑部件上次的运算结果 D.累加器中的数据 ( b )10、为协调计算机各部件的工作,需要下面哪项来提供统一的时钟。 A.总线缓冲器 B.时钟发生器 C.总线控制器 D.操作命令发生器 ( c )11、下列各种数制的数中最小的数是下面哪项。 A.(101001)2 B.(52)8 C.(101001)BCD D.(233)H ( d )12、下列各种数制的数中最大的数是下面哪项。 A.(1001011)2 B.75 C.(112)8 D.(4F)H ( b )13、将十进制数15/2表示成二进制浮点规格化数(阶符1位,阶码2位,数符1位,尾数4位)是下面哪项。 A.01101110 B.01101111 C.01111111 D.11111111

计算机组成原理期末考试试题及答案

计算机组成原理期末考试试题及答案 文稿归稿存档编号:[KKUY-KKIO69-OTM243-OLUI129-G00I-FDQS58-

计算机组成原理试题 一、选择题(共20分,每题1分) 1.零地址运算指令在指令格式中不给出操作数地址,它的操作数来自______。 A.立即数和栈顶; B.暂存器; C.栈顶和次栈顶; D.累加器。 2.______可区分存储单元中存放的是指令还是数据。 A.存储器; B.运算器; C.控制器; D.用户。 3.所谓三总线结构的计算机是指______。 A.地址线、数据线和控制线三组传输线。 B.I/O总线、主存总统和DMA总线三组传输线; C.I/O总线、主存总线和系统总线三组传输线; D.设备总线、主存总线和控制总线三组传输线.。 4.某计算机字长是32位,它的存储容量是256KB,按字编址,它的寻址范围是______。 A.128K; B.64K; C.64KB; D.128KB。 5.主机与设备传送数据时,采用______,主机与设备是串行工作的。 A.程序查询方式; B.中断方式; C.DMA方式; D.通道。 6.在整数定点机中,下述第______种说法是正确的。

A.原码和反码不能表示-1,补码可以表示-1; B.三种机器数均可表示-1; C.三种机器数均可表示-1,且三种机器数的表示范围相 同; D.三种机器数均不可表示-1。 7.变址寻址方式中,操作数的有效地址是______。 A.基址寄存器内容加上形式地址(位移量); B.程序计数器内容加上形式地址; C.变址寄存器内容加上形式地址; D.以上都不对。 8.向量中断是______。 A.外设提出中断; B.由硬件形成中断服务程序入口地址; C.由硬件形成向量地址,再由向量地址找到中断服务程序入口地址 D.以上都不对。 9.一个节拍信号的宽度是指______。 A.指令周期; B.机器周期; C.时钟周期; D.存储周期。 10.将微程序存储在EPROM中的控制器是______控制器。 A.静态微程序; B.毫微程序; C.动态微程序; D.微程序。 11.隐指令是指______。 A.操作数隐含在操作码中的指令; B.在一个机器周期里完成全部操作的指令; C.指令系统中已有的指令;

计算机组成原理第二版课后习题详细答案

第1章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解: 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 2. 如何理解计算机的层次结构? 答:计算机硬件、系统软件和应用软件构成了计算机系统的三个层次结构。 (1)硬件系统是最内层的,它是整个计算机系统的基础和核心。 (2)系统软件在硬件之外,为用户提供一个基本操作界面。 (3)应用软件在最外层,为用户提供解决具体问题的应用系统界面。 通常将硬件系统之外的其余层称为虚拟机。各层次之间关系密切,上层是下层的扩展,下层是上层的基础,各层次的划分不是绝对的。 3. 说明高级语言、汇编语言和机器语言的差别及其联系。 答:机器语言是计算机硬件能够直接识别的语言,汇编语言是机器语

言的符号表示,高级语言是面向算法的语言。高级语言编写的程序(源程序)处于最高层,必须翻译成汇编语言,再由汇编程序汇编成机器语言(目标程序)之后才能被执行。 4. 如何理解计算机组成和计算机体系结构? 答:计算机体系结构是指那些能够被程序员所见到的计算机系统的属性,如指令系统、数据类型、寻址技术组成及I/O机理等。计算机组成是指如何实现计算机体系结构所体现的属性,包含对程序员透明的硬件细节,如组成计算机系统的各个功能部件的结构和功能,及相互连接方法等。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ●计算机由运算器、控制器、存储器、输入设备、输出设备五大 部件组成; ●指令和数据以同同等地位存放于存储器内,并可以按地址访 问; ●指令和数据均用二进制表示; ●指令由操作码、地址码两大部分组成,操作码用来表示操作的 性质,地址码用来表示操作数在存储器中的位置; ●指令在存储器中顺序存放,通常自动顺序取出执行; ●机器以运算器为中心(原始冯?诺依曼机)。

计算机组成原理试卷及答案

计算机组成原理试题及答案 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。) 1.若十进制数据为137.5则其八进制数为(B )。 A、89.8 B、211.4 C、211.5 D、1011111.101 2.若x补=0.1101010,则x原=(A )。 A、1.0010101 B、1.0010110 C、0.0010110 D、0.1101010 3.若采用双符号位,则发生正溢的特征是:双符号位为(B)。 A、00 B、01 C、10 D、11 4.原码乘法是(A )。 A、先取操作数绝对值相乘,符号位单独处理 B、用原码表示操作数,然后直接相乘 C、被乘数用原码表示,乘数取绝对值,然后相乘 D、乘数用原码表示,被乘数取绝对值,然后相乘 5.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 6.下列数中,最小的数是(A)。 A.(101001)2B.(52)8C.(2B)16D.45 7.下列数中,最大的数是(D)。 A.(101001)2B.(52)8C.(2B)16D.45 8.下列数中,最小的数是(D)。 A.(111111)2B.(72)8C.(2F)16D.50 9.已知:X=-0.0011,Y= -0.0101。(X+Y)补= ( A)。 A.1.1100B.1.1010 C.1.0101D.1.1000 10.一个512KB的存储器,地址线和数据线的总和是(C )。 A.17 B.19C.27D.36 11.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C )。 A.64K B.32KB C.32K D.16KB 12.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C )。 A.21 B.17 C.19 D.20 12.计算机内存储器可以采用(A)。 A.RAM和ROM B.只有ROM C.只有RAM D.RAM和SAM 13.单地址指令中为了完成两个数的算术操作,除地址码指明的一个操作数外,另一个数常需采用( C) 。 A.堆栈寻址方式 B.立即寻址方式 C.隐含寻址方式 D.间接寻址方式 14.零地址运算指令在指令格式中不给出操作数地址,因此它的操作数来自(B)。 A.立即数和栈顶 B.栈顶和次栈顶 C.暂存器和栈顶 D.寄存器和内存单元 15.指令系统中采用不同寻址方式的目的主要是( C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度 16.用于对某个寄存器中操作数的寻址方式称为( C)寻址。 A.直接 B.间接 C.寄存器直接 D.寄存器间接 17.寄存器间接寻址方式中,操作数处在( B )。 A.通用寄存器 B.贮存单元 C.程序计数器 D.堆栈 18.RISC是(A)的简称。 A.精简指令系统计算机 B.大规模集成电路

《计算机组成原理》期末考试试题及答案

武汉大学计算机学院 2007-2008学年第一学期2006级《计算机组成原理》 期末考试试题A卷答案 __ 学号_______ 班级 ____ _____ 姓名__ _________ 成绩_____ ___ 1.(16分)一浮点数,阶码部分为q位,尾数部分为p位,各包含一位符号位,均用补码表示;该浮点数所能表示的最大正数、最小正数、最大负数和最小负数分别是多少? 解: 2.在一个具有四体低位多体交叉的存储器中,如果处理器的访存地址为以下十进制。求该存储器比单体存储器的平均访问速率提高多少?(忽略初启时的延迟) (1)1、2、3、…… 32 (10分) (2)2、4、6、…… 32 (10分) 解:设存储器的访问周期为T。 (1)四体低位多体交叉的存储器访问的情况如下: 1、2、3 所需时间= T ; 4、5、6、7 所需时间= T ; 8、9、10、11 所需时间= T ; 12、13、14、15 所需时间= T ; 16、17、18、19 所需时间= T ; 20、21、22、23 所需时间= T ; 24、25、26、27 所需时间= T ; 28、29、30、31 所需时间= T ; 32 所需时间= T ; 四体低位多体交叉的存储器访问所需时间=9T; 单体存储器访问所需时间=32T; 所以平均访问速率提高:32/9倍

(2)四体低位多体交叉的存储器访问的情况如下: 2 所需时间= T ; 4、6 所需时间= T ; 8、10 所需时间= T ; 12、14 所需时间= T ; 16、18 所需时间= T ; 20、22 所需时间= T ; 24、26 所需时间= T ; 28、30 所需时间= T ; 32 所需时间= T ; 四体低位多体交叉的存储器访问所需时间= 9T; 单体存储器访问所需时间=16T; 所以平均访问速率提高:16/9倍 3.(20分)假定指令格式如下: 其中: D/I为直接/间接寻址标志,D/I=0表示直接寻址,D/I=1表示间接寻址。 Bit10=1:变址寄存器I寻址; 设有关寄存器的内容为(I)=063215Q 试计算下列指令的有效地址。(Q表示八进制) (1) 152301Q (2) 140011Q 解: (1) 152301Q=1 101 010 011 000 001 因为Bitl0(I)=1,故为变址寄存器寻址,EA=(I)+A=063215+301=063516Q。 (3) 140011Q=1 100 000 000 001 001 因为D/I=0,故为直接寻址,EA=A=011Q。 4. 已知某运算器的基本结构如图所示,它具有+(加)、-(减)、和M(传送)三种操作。 (1) 写出图中1~12表示的运算器操作的微命令;(6分) (2) 设计适合此运算器的微指令格式;(6分) (3) 指令DDA的功能是计算R1、R2和R3三个寄存器的和,若进位C=0,则R1+R2→R2;若进位C=1,则R1+R2+R3→R2,画出指令DDA的微程序流程图,并列出微操作序列(取指令流程不写,取指令微指令安排在0号单元中);(6分) (4)设下址地址为5位,将微程序流程图安排在1~3号单元里;(6分)

计算机组成原理期末试题

1.刷新存储器的重要性能指标是它的带宽。若显示工作方式采用分辨率为1024*768,颜色深度24位,帧频(刷新速度)为72Hz,求: 1)刷新存储器的容量是多少 2)刷新存储器的带宽是多少 1)刷新存储器的容量= 1024*768 * 24bit= 2)帧频(刷新速度)为72Hz指的是:每秒钟读72次, 所以,刷新存储器的带宽=1024*768 * 24bit *72 次/秒=162MB/s 2.试推导磁盘存储器读写一块信息所需要的总时间 读写一块总时间TB=平均找道时间Ts+平均等待时间Tw +读写传输时间Tt 读写一块总时间TB=平均找道时间+平均等待时间+读写传输时间=Ts+Tw+Tt 1)Tw 设磁盘每秒r转,每条磁道N个字,则数据传输率=rN个字/秒 转一周的时间=1/r,所以 Tw =1/2*(1/r)=1/(2r) 2)Tt 又设每块的字数是n,一旦读写头定位在该块,则Tt≈n/(rN)秒 所以TB=Ts+ 1/(2r)+ n/(rN) 秒 3.采用串行接口进行7位ASCII码传送,带有一位奇偶校验位、一位起始位和一位停止位,当波特9600波特时,字符传送率是 9600波特=9600bit/秒 =9600 bit*(1字符/10bit)/秒 =960字符/秒 4.某总线在一个总线周期中并行传送8个字节的信息,设一个总线周期等于一个总线时钟周期,总线时钟频率为70MHz,求总线带宽 Dr=8字节/T秒=8*70*10^6≈420MB/秒 5.某机器CPU中有16个寄存器,运行某中断处理程序时,仅用到其中的2个寄存器,请问响应中断而进入该中断处理程序时是否将通用寄存器内容保存到主存中去需保存几个寄存器 要将通用寄存器内容保存到主存中去。 只要保存中断处理程序用到的那2个寄存器的内容。 1.已知cache的存储周期是40ns,主存存储周期200ns, cache/主存系统平均50ns,求cache的命中率访问n个字,设命中率为H cache/主存系统的平均访问时间 =命中cache的时间+不命中cache的主存访问时间 =H*Tc+(1-H)*Tm =H*40+(1-H)*200 =50

计算机组成原理课后答案

… 第一章计算机系统概论 什么是计算机系统、计算机硬件和计算机软件硬件和软件哪个更重要 计算机系统:计算机硬件、软件和数据通信设备的物理或逻辑的综合体 计算机硬件:计算机的物理实体 计算机软件:计算机运行所需的程序及相关资料 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要 如何理解计算机系统的层次结构 实际机器M1向上延伸构成了各级虚拟机器,机器M1内部也可向下延伸而形成下一级的微程序机器M0,硬件研究的主要对象归结为传统机器M1和微程序机器M0,软件研究对象主要是操作系统及以上的各级虚拟机 》 说明高级语言、汇编语言和机器语言的差别及其联系。 机器语言是可以直接在机器上执行的二进制语言 汇编语言用符号表示指令或数据所在存储单元的地址,使程序员可以不再使用繁杂而又易错的二进制代码来编写程序 高级语言对问题的描述十分接近人们的习惯,并且还具有较强的通用性 如何理解计算机组成和计算机体系结构 计算机体系结构是对程序员可见的计算机系统的属性 计算机组成对程序员透明,如何实现计算机体系结构所体现的属性 冯·诺依曼计算机的特点是什么 。 由运算器、控制器、存储器、输入设备、输出设备五大部件组成 指令和数据以同一形式(二进制形式)存于存储器中 指令由操作码、地址码两大部分组成 指令在存储器中顺序存放,通常自动顺序取出执行 以运算器为中心(原始冯氏机) 画出计算机硬件组成框图,说明各部件的作用及计算机硬件的主要技术指标。 计算机硬件各部件 运算器:ACC, MQ, ALU, X ' 控制器:CU, IR, PC 主存储器:M, MDR, MAR I/O设备:设备,接口 计算机技术指标: 机器字长:一次能处理数据的位数,与CPU的寄存器位数有关 存储容量:主存:存储单元个数×存储字长 运算速度:MIPS, CPI, FLOPS 解释概念 & 主机:计算机硬件的主体部分,由 CPU+MM(主存或内存)组成 CPU:中央处理器,是计算机硬件的核心部件,由运算器+控制器组成 主存:计算机中存放正在运行的程序和数据的存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成

计算机组成原理试卷4

计算机组成原理试题4 一、选择题(共20分,每题1分) 1.一条指令中包含的信息有。 A.操作码、控制码; B.操作码、向量地址; C.操作码、地址码。 2.在各种异步通信方式中,______速度最快。 A.全互锁; B.半互锁; C.不互锁。 3.一个512KB的存储器,其地址线和数据线的总和是______。 A.17; B.19; C.27。 4.在下列因素中,与Cache的命中率无关的是。) A.Cache块的大小; B.Cache的容量; C.主存的存取时间。 5.在计数器定时查询方式下,若计数从0开始,则______。 A.设备号小的优先级高; B.每个设备使用总线的机会相等; C.设备号大的优先级高。 6.Cache的地址映象中,若主存中的任一块均可映射到Cache内的任一块的位置上,称作。 A.直接映象; B.全相联映象; C.组相联映象。 7.中断服务程序的最后一条指令是______。 A.转移指令; B.出栈指令; C.中断返回指令。 8.微指令操作控制字段的每一位代表一个控制信号,这种微程序的控制(编码)方式是______。 A.字段直接编码; B.直接编码; C.混合编码。 9.在取指令操作之后,程序计数器中存放的是______。 A.当前指令的地址; B.程序中指令的数量; C.下一条指令的地址。 10.以下叙述中______是正确的。 A.RISC机一定采用流水技术;

B.采用流水技术的机器一定是RISC机; C.CISC机一定不采用流水技术。 11.在一地址格式的指令中,下列是正确的。 A.仅有一个操作数,其地址由指令的地址码提供; B.可能有一个操作数,也可能有两个操作数; C.一定有两个操作数,另一个是隐含的。 12.在浮点机中,判断原码规格化形式的原则是______。 A.尾数的符号位与第一数位不同; B.尾数的第一数位为1,数符任意; C.尾数的符号位与第一数位相同; D.阶符与数符不同。 13.I/O采用不统一编址时,进行输入输出操作的指令是______。 A.控制指令; B.访存指令; C.输入输出指令。 14.设机器字长为64位,存储容量为128MB,若按字编址,它的寻址范围是。 A.16MB; B.16M; C.32M。 15.寻址便于处理数组问题。 A.间接寻址; B.变址寻址; C.相对寻址。 16.超标量技术是______。 A.缩短原来流水线的处理器周期; B.在每个时钟周期内同时并发多条指令; C.把多条能并行操作的指令组合成一条具有多个操作码字段的指令。 17.以下叙述中______是错误的。 A.取指令操作是控制器固有的功能,不需要在操作码控制下完成; B.所有指令的取指令操作都是相同的; C.在指令长度相同的情况下,所有指令的取指操作都是相同的。 18.I/O与主机交换信息的方式中,中断方式的特点是______。 A.CPU与设备串行工作,传送与主程序串行工作; B.CPU与设备并行工作,传送与主程序串行工作; C.CPU与设备并行工作,传送与主程序并行工作。 19.设寄存器内容为11111111,若它等于+127,则为______。 A.原码; B.补码; C.反码; D.移码。 20.设机器数采用补码形式(含l位符号位),若寄存器内容为9BH,则对应的十进制数为______。 A.-27; B.-97;

计算机组成原理期末考试试卷及答案(1)

计算机组成原理期末考试试卷(1) 一.选择题(下列每题有且仅有一个正确答案,每小题2分,共20分)1.假设下列字符码中最后一位为校验码,如果数据没有错误,则采用偶校验的字符码的是____。 A. 11001011 B. 11010110 C. 11000001 D. 11001001 2.在定点二进制运算器中,减法运算一般通过______ 来实现。 A. 补码运算的二进制加法器 B. 补码运算的二进制减法器 C. 补码运算的十进制加法器 D. 原码运算的二进制减法器 3.下列关于虚拟存储器的说法,正确的是____。 A. 提高了主存储器的存取速度 B. 扩大了主存储器的存储空间,并能进行自动管理和调度 C. 提高了外存储器的存取速度 D. 程序执行时,利用硬件完成地址映射 4.下列说法正确的是____。 A. 存储周期就是存储器读出或写入的时间 B. 双端口存储器采用了两套相互独立的读写电路,实现并行存取 C. 双端口存储器在左右端口地址码不同时会发生读/写冲突 D. 在cache中,任意主存块均可映射到cache中任意一行,该方法称为直接映射方式 5.单地址指令中,为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个操作数一般采用____寻址方式。 A. 堆栈 B. 立即 C. 隐含 D. 间接 6.指令系统中采用不同寻址方式的目的主要是______ 。 A.实现存储程序和程序控制 B.提供扩展操作码的可能并降低指令译码难度 C.可以直接访问外存 D.缩短指令长度,扩大寻址空间,提高编程灵活性7.下列说法中,不符合RISC指令系统特点的是____。 A. 指令长度固定,指令种类少 B. 寻址方式种类尽量少,指令功能尽可能强 C. 增加寄存器的数目,以尽量减少访存的次数 D. 选取使用频率最高的一些简单指令,以及很有用但不复杂的指令

【精品】计算机组成原理期末考试简答题重点

一、简答题 1、试述浮点数规格化的目的和方法。 答:浮点的规格化是为了使浮点数尾数的最高数值位为有效数位。当尾数用补码表示时,若符号位与小数点后的第一位不相等,则被定义为已规格化的数,否则便是非规格化数。通过规格化,可以保证运算数据的精度。 方法:进行向左规格化,尾数左移一位,阶码减1,直到规格化完毕。 2、简述循环冗余码(CRC)的纠错原理。 答:CRC码是一种纠错能力较强的校验码。在进行校验时,先将被检数据码的多项式用 生成多项式G(X)来除,若余数为0,说明数据正确;若余数不为0,则说明被检数据有错。 只要正确选择多项式G(X),余数与CRC码出错位位置的对应关系是一定的,由此可以 用余数作为判断出错位置的依据而纠正出错的数据位。 3、DRAM存储器为什么要刷新?有几种刷新方式? DRAM存储元是通过栅极电容存储电荷来暂存信息。由于存储的信息电荷终究是有泄漏的,电荷数又不能像 SRAM存储元那样由电源经负载管来补充,时间一长,信息就会丢失。为此必须设法由外界按一定规律给栅 极充电,按需要补给栅极电容的信息电荷,此过程叫“刷新”。 ①集中式---正常读/写操作与刷新操作分开进行,刷新集中完成。 ②分散式---将一个存储系统周期分成两个时间片,分时进行正常读/写操作和刷新操作。 ③异步式---前两种方式的结合,每隔一段时间刷新一次,保证在刷新周期内对整个存储器 刷新一遍。 4、CPU中有哪些主要寄存器?简述这些寄存器的功能。 (1)指令寄存器(IR):用来保存当前正在执行的一条指令。 (2)程序计数器(PC):用来确定下一条指令的地址。 (3)地址寄存器(AR):用来保存当前CPU所访问的内存单元的地址。 (4)缓冲寄存器(DR): <1>作为CPU和内存、外部设备之间信息传送的中转站。 <2>补偿CPU和内存、外围设备之间在操作速度上的差别。 <3>在单累加器结构的运算器中,缓冲寄存器还可兼作为操作数寄存器。 (5)通用寄存器(AC):当运算器的算术逻辑单元(ALU)执行全部算术和逻辑运算时,为ALU提供一个工作区。 (6)状态条件寄存器:保存由算术指令和逻辑指令运行或测试的结果建立的各种条件码内容。除此之外,还保存中断和系统工作状态等信息,以便使CPU和系统能及时了解机器运行状态和程序运行状态。 5、中断处理过程包括哪些操作步骤? 关闭中断标识,重要数据入栈, 处理中断服务功能, 数据出栈, 恢复中断标识, 开中断.

计算机组成原理试卷答案及解析

华东交通大学2014—2015学年第二学期考试卷 试卷编号: ( A )卷 计算机组成原理 课程 课程类别:必√、限、任 闭卷( )、开卷(范围)(√):仅限上课教材考试日期:2015-6-25 考生注意事项:1、本试卷共 页,总分100分,考试时间120分钟。 2、考试结束后,考生不得将试卷、答题纸和草稿纸带出考场。 一、填空题(每空1分,共20分)。 1、外部设备包括_______、_______和_______,又叫外围设备。 2、系统软件主要包括_______、_______和________。 3、4位二进制数可表示______种代码,8位二进制数可表示_______种代码。 4、浮点数运算可由_______运算和_______来实现。 5、一条指令包括_______和_______两个部分,它们都是采用_______表示的。 6、主机对主存的主要要求是_______、_______和________、_______。 7、控制器的三个功能是决定_______,给出_______,处理_______。 二、选择题(每题2分,共30分) 1、已知:[X]补=,[Y]补=01001010,则[X-Y]补=______。 A. B. C. D.溢出 2、在用________表示的机器中,零的表示是唯一的。 A.原码 B.反码 C.补码 D.阶码 存储器的内容应与主存储器的相应单元的内容_______。

A.保持一致 B.可以不一致 C.无关 4、在总线中地址总线的功能是_______。 A.用于选择存储器单元 B.用于选择存储器单元和各个通用寄存器 C. 用于选择进行信息传输的设备 D.用于选择指定存储器单元和选择I/O设备接口电路的地址 5、现代计算机的处理对象是________。 A.二进制数 B. 文字 C. 十进制数 D.电压、电流 6、计算机中数据处理中心是________。 A.主机 B.运算器 C. 控制器 O系统 7、中断向量地址是_______。 A.子程序的入口地址 B.中断服务子程序的入口地址 C.中断服务子程序入口地址指示器 D. 外设程序入口地址 8、计算机存储器用来存放被运算的数据和程序,如果读出一个存储单元的内容后,该单元的内容________。 A.清零 B.保持不变 C.被取走 D. 不定 9、CPU中通过寄存器的位数决定________。 A.指令长度 B.数的精度 C.机器字长 D.主存储量 10、下列哪种指令不属于程序控制指令。 A. 无条件转移指令 B. 条件转移指令 C. 中断隐指令 D. 转移指令 11、计算机的中央处理器是指_________。 A. 主机 B. 运算器 C. CPU D. 控制器 12、计算机可以运行用各种高级程序语言设计编写的程序,但都必须经过变换成最终计算机能够辨别的,才能执行。 A.二进制机器语言 B.汇编语言 C.中间语言 D.操作系统语言

相关文档
相关文档 最新文档