文档库 最新最全的文档下载
当前位置:文档库 › AD模数转换_PIC16F877A

AD模数转换_PIC16F877A

AD模数转换_PIC16F877A
AD模数转换_PIC16F877A

从打开A/D通道或选择新的A/D通道到A/D转换器的内部保持电容充电至与输入的模拟电压相同的时间就是A/D采集时间,通常为20us左右,然后才能启动A/D转换。

在执行程序连续交替进行两路模拟输入信号的A/D转换时,GO位被置1后启动一次A/D 转换,只要等待一个T AD的时间,之后就可以修改CHS2:CHS0选择另外的输入信号通道而不会影响当前A/D转换的结果。

10位的A/D转换时间共需要12个T AD,T AD为一位的转换时间,对于887A来说,T AD最小为1.6us。

A/D转换过程如下:

1、有关的I/O口设置为输入(TRISA或TRISE)

2、对模拟引脚/基准电压/数字I/O进行设置,选择A/D结果格式(ADCON1)

3、选择A/D通道,选择A/D时钟,A/D模块使能

4、延时约20us,使得输入电压对保持电容充电达到稳定

5、开始A/D转换(ADGO=1)

6、A/D转换结束,ADGO自动清零,软件对PIR1的ADIF清零

7、读A/D转换结果(ADRESH、ADRESL)

如下程序:

//A/D转换,对指定通道k进行A/D转换,结果以16位整数返回

//只进行AD通道等设置,ADCON1不在此设置

unsigned int_AD_SUB( char k)

{

char i;

unsigned int x;

ADCON0=0b 0100 0001; // T AD=8Tosc

ADCON0 |=(k<<3); // 设置A/D转换通道,打开通道

for (i=1;i<5;i++) NOP( ); // 打开AD通道后延时20us左右

ADGO=1; // 开始A/D转换

while(ADGO= =1); // 等待A/D转换结束

ADIF=0; // 清A/D转换结束标志

x=0;

x=ADRESH<<8;

x|=ADRESL;

return( x);

}

PIC16F877A对模拟输入电压和参考电压的要求:(10/12位的AD转换)

一个完整的A/D转换可以按如下步骤实现:

1、设定ADCON1和TRISx寄存器,配置引脚的工作模式

2、若需要中断响应,则要设定相关的中断控制寄存器

3、设置ADCON0寄存器,选择A/D转换的时钟,选择模拟信号的输入通道,打开A/D模

块,注意此时GO/DONE位不要置1

4、等待足够长的采样延时

5、将ADCON0中的GO/DONE控制位置1,启动一次A/D转换过程

6、查询A/D转换结束标志:GO/DONE位在A/D转换结束时会自动清0,ADIF标志位在

A/D转换结束后会自动置1,这两个位都可以作为软件查询A/D转换是否结束的标志,使用ADIF标志时记得要用软件将其清除

7、若使用中断来响应A/D转换的结束,则6将不再适用,A/D转换结束时ADIF的置位将

使单片机进入中断服务程序,在处理中断时记得将ADIF标志位清0

8、A/D转换结束,直接从ADRES寄存器中读取8位转换结果,存入其缓冲单元或直接进

行运算处理

9、修改ADCON0寄存器的CHS2:CHS0,选择其他通道输入的模拟信号进行A/D转换,

程序重复4—9的循环

输入电压信号:

为了防止电压输入而造成芯片损坏或出现硬件死锁的问题,一般要在输入信号电路中串接一个限流电阻后在接到单片机引脚上。

如下图所示:(A/D输入引脚的限流保护)

输入电压信号的内阻:

通常输入信号都有一定的内阻,分析时,可以将输入信号等效为有一定内阻Ri的电压源V,如图所示:(IL为输入漏电流)

根据相关数据手册参数表得知:

PIC单片机A/D输入信号引脚的输入漏电流IL最大为±500nA,它也同时推荐了被测输入信号源内阻最大不要超过10kΩ。基于这两个参数,可知损耗在信号源内阻上的电压最不能超过5mV(A/D基准电压为2.5V时的1/2个LSB)这样才能保证A/D转换结果的正确。

常见的用热敏电阻测温电路。如图下所示:

让电源电压VDD通过热敏电阻Rx和参考电阻Rf串联电路进行分压,得到分压点电压Vx 后直接送入单片机的引脚进行A/D转换。

电路分析得:

只要保证Rf不超过10kΩ,无论Rx时多大。上图中的源内阻Ri也就不会超过10kΩ,满足了A/D模块对输入信号源内阻的要求。

输入信号的抗混叠滤波:

根据奈奎斯特采样定理:当以频率f对输入信号进行采样时,能够正确还原的信号最高频率为f/2。

当信号含有频率超过f/2时,对信号进行时域离散抽样后会造成的其频域混叠。要消除这种

混叠现象出现的唯一方法:只有在信号输入到A/D模块前,先对其进行抗混叠滤波(实际为低通滤波),保证输入的信号最高频率分量不超过f/2。

常见的用热敏电阻测温电路中实现低通滤波的方法:(简单RC低通滤波)

注意:简单的RC滤波电路会增加信号源的内阻

抗混叠滤波是所有A/D转换电路必须具备的一个组成部分。

AD转换时钟的选择:

A/D转换时钟可以是单片机的主振荡器的振荡频率,此时可选主振荡频率的2、8或32分频。也可以使用A/D模块内部自带的独立RC振荡器,其一个振荡周期典型值一般是4us左右。整个离散变化的范围在2~6us之间(RC振荡有离散性)。

假设芯片主振荡频率为8MHz,A/D转换时钟选自此主振荡频率,则理论上必须对其进行至

(1/8=0.125us,1.6/0.125=12.8,0.125×32=4us)少12.8分频才能得到周期为1.6us的时钟信号。

这时只有一种选择ADSC1:ADSC0=10,选择32分频得到T AD为4us。

T AD必须大于1.6us,但也不是越大越好。

从采样开关断开到获取8位的转换结果,需要9个T AD周期,一般不要超过50us。

如图:

当单片机主振荡频率很低时,如32768Hz时,A/D转换时钟最好选择其自带的RC振荡,以避免转换时间过长所造成结果偏差。单片机休眠时进行A/D转换,时钟必须使用独立的RC 振荡。

10/12位的AD转换,其采样时间在22us以上。实际设计时可取25us或更多一些。

8位的AD转换,其采样时间取15us或更多一些。

参考电压的考虑:

8位AD模块,其参考电压只有上限一路,下限固定为芯片的地电平Vss。

10/12位的AD模块其基准电压有上限(VREF+)和下限(VREF-)。此时,(VREF+)对应于引脚AN3,(VREF-)对应于引脚AN2。也可以使用芯片自身的工作电压,即(VREF+)=VDD,(VREF-)=Vss。

合理地调整(VREF+)和(VREF-)的幅值,在一定程度上可以提高A/D转换的灵敏度和动态范围。如(VREF+)=3.6V,(VREF-)=0V,3.6/1024=3.5mV,当(VREF-)=1V,则2.6/1024=2.5mV与原先相比提高了约1mV的灵敏度。

AD转换结果的格式:

10/12位的AD转换结果存放于ADRESH和ADRESL两个字节中。

8位的AD转换结果存放于ADRES寄存器中,ADRESH寄存器的物理地址与8位A/D模块的ADRES寄存器完全一样,故左对齐格式可以使10/12位A/D模块与8位A/D模块完全兼容。

ADCON0寄存器:(设置ADC模块工作方式)

位7:6 ADCS1:ADCS0:A/D转换时钟选择

00= fosc/2,即时钟源自于芯片主振荡的2分频

01= fosc/8,即时钟源自于芯片主振荡的8分频

10= fosc/32,即时钟源自于芯片主振荡的32分频

11= f RC,即时钟源自于AD模块内自带的RC振荡频率

位5:3 CHS2:CHS0:A/D转换输入模拟信号通道选择

000=通道0,AN0

001=通道1,AN1

010=通道2,AN2

011=通道3,AN3

100=通道4,AN4

101=通道5,AN5

110=通道6,AN6

111=通道7,AN7

位2 GO/DONE:A/D转换启动控制位和转换状态标志位

这一位既是A/D转换控制位,通过软件将其置1后开始一个A/D转换过程;同

时又是一个标志位

1=A/D转换正在进行中

0=A/D转换过程结束

位1 未定义:程序中记得该位要始终保持为0

位0 ADON:A/D模块启动控制位

1=A/D转换模块开始工作

0=A/D转换模块被禁止,该部分电路没有任何耗电

ADCON1寄存器:(设置ADC模块端口和数据格式)

位7 ADFM:A / D转换结果格式选择位(AD Result Format Select Bit)0=结果左对齐,ADRESL 寄存器的低6位读作0

1=结果右对齐,ADRESH寄存器的高6位读作0

位6 ADCS2:A / D转换时钟频率选择位(ADCON1位在阴影区域,并以粗体字)

位5:4 未定义:读取这些位将得到0

位3:0 PCFG3:PCFG0:A / D模块引脚功能配置位

这4个位决定了功能复用的引脚哪些作为普通数字I/O,哪些作为A/D转换时的

电压信号输入。如下表所示:

数模模数转换实验报告

数模模数转换实验报告 一、实验目的 1、了解数模和模数转换电路的接口方法及相应程序设计方法。 2、了解数模和模数转换电路芯片的性能和工作时序。 二、实验条件 1、DOS操作系统平台 2、数模转换芯片DAC0832和模数转换器ADC0809芯片。 三、实验原理 1、数模转换: (1)微机处理的数据都是数字信号,而实际的执行电路很多都是模拟的。因此微机的处理结果又常常需要转换为模拟信号去驱动相应的执行单元,实现对被控对象的控制。这种把数字量转换为模拟量的设备称为数模转换器(DAC),简称D/A。 (2)实验中所用的数模转换芯片是DAC0832,它是由输入寄存器、DAC 寄存器和D/A 转换器组成的CMOS 器件。其特点是片内包含两个独立的8 位寄存器,因而具有二次缓冲功能,可以将被转换的数据预先存在DAC 寄存器中,同时又采集下一组数据,这就可以根据需要快速修改DAC0832 的输出。 2、模数转换: (1)在工程实时控制中,经常要把检测到的连续变化的模拟信号,如温度、压力、速度等转换为离散的数字量,才能输入计算机进行处理。实现模拟量到数字量转换的设备就是模数转换器(ADC),简称A/D。

(2)模数转换芯片的工作过程大体分为三个阶段:首先要启动模数转换过程。其次,由于转换过程需要时间,不能立即得到结果,所以需要等待一段时间。一般模数转换芯片会有一条专门的信号线表示转换是否结束。微机可以将这条信号线作为中断请求信号,用中断的方式得到转换结束的消息,也可以对这条信号线进行查询,还可以采用固定延时进行等待(因为这类芯片转换时间是固定的,事先可以知道)。最后,当判断转换已经结束的时候,微机就可以从模数转换芯片中读出转换结果。 (3)实验采用的是8 路8 位模数转换器ADC0809 芯片。ADC0809 采用逐次比较的方式进行A/D 转换,其主要原理为:将一待转换的模拟信号与一个推测信号进行比较,根据推测信号是大于还是小于输入信号来决定增大还是减少该推测信号,以便向模拟输入逼近。推测信号由D/A 转换器的输出获得,当推测信号与模拟信号相等时,向D/A 转换器输入的数字就是对应模拟信号的数字量。ADC0809 的转换时间为64 个时钟周期(时钟频率500K 时为128S)。分辨率为 8 位,转换精度为±LSB/2,单电源+5V 供电时输入模拟电压范围为04.98V。 四、实验内容 1、把DAC0832 的片选接偏移为10H 的地址,使用debug 命令来测试 DAC0832 的输出,通过设置不同的输出值,使用万用表测量Ua 和Ub 的模拟电压,检验DAC0832 的功能。选取典型(最低、最高和半量程等)的二进制值进行检验,记录测得的结果。实验结果记录如下:

∑-△模数转换器的原理及应用

∑-△模数转换器的原理及应用 张中平 (东南大学微电子机械系统教育部重点实验室,南京210096) 摘要:∑-△模数转换器由于造价低、精度高、性能稳定及使用方便等特点,越来越广泛地使用在一些高精度仪器仪表和测量设备中,介绍该转换器的基本原理,并重点举例介绍AD7708芯片的应用,该芯片是16 bit模数转换器,与24 bit AD7718引脚相同,可直接升级。 关键词:模数转换器;寄存器;串行口 我们通常使用的模数转换器(ADC)大多为积分型和逐次逼近型,积分型转换效果不够好,转换过程中带来的误差比较大;逐次逼近型转换效果较好但制作成本较高,尤其是高位数转换,转换位数越多,精度越高,制作成本就越高。而∑-△ADC可以以相对逐次逼近型简单的电路结构,而得到低成本,高位数及高精度的转换效果∑-△ADC大多设计为16或24 bit转换精度。近几年来,在相关的高精度仪器制作领域该转换器得到了越来越广泛的应用[1]。 1 ∑-△ADC的基本工作原理简介 ∑-△模数转换器的工作原理简单的讲,就是将模数转换过后的数字量再做一次窄带低通滤波处理。当模拟量进入转换器后,先在调制器中做求积处理,并将模拟量转为数字量,在这个过程中会产生一定的量化噪声,这种噪声将影响到输出结果,因此,采用将转换过的数字量以较低的频率一位一位地传送到输出端,同时在这之间加一级低通滤波器的方法,就可将量化噪声过滤掉,从而得到一组精确的数字量[1,2]。 2 AD7708/AD7718,∑-△ADC的应用 AD7708/AD7718是美国ADI公司若干种∑ΔADC中的一种。其中AD7708为16 bit转换精度,AD7718为24 bit转换精度,同为28条引脚,而且相同引脚功能相同,可以互换。为方便起见,下面只介绍其中一种,也是我们工作中用过的AD7708。 2.1AD7708的工作原理 同其它智能化器件一样,AD7708也可以用软件来调节其所具有的功能,即通过微控制器MCU编程向AD7708的相应寄存器填写适当的参数。AD7708芯片中共有11个寄存器, 当模式寄存器(Mode Regis-ter)的最高位后,其工作方框图[2]如图1所示。

数模转换器和模数转换器实验报告

实验报告 课程名称微机原理与接口技术 实验项目实验五 数/模转换器和模/数转换器实验实验仪器 TPC-USB通用微机接口实验系统 系别计算机系 专业网络工程 班级/学号 学生 _ 实验日期 成绩_______________________ 指导教师王欣

实验五数/模转换器和模/数转换器实验 一、实验目的 1. 了解数/模转换器的基本原理,掌握DAC0832芯片的使用方法。 2. 了解模/数转换器的基本原理,掌握ADC0809的使用方法。 二.实验设备 1.PC微机系统一套 2.TPC-USB通用微机接口实验系统一套 三.实验要求 1.实验前要作好充分准备,包括程序框图、源程序清单、调试步骤、测试方法、对运行结果的分析等。 2.熟悉与实验有关的系统软件(如编辑程序、汇编程序、连接程序和调试程序等)使用方法。在程序调试过程中,有意识地了解并掌握TPC-USB通用微机接口实验系统的软硬件环境及使用,掌握程序的调试及运行的方法技巧。 3.实验前仔细阅读理解教材相关章节的相关容,实验时必须携带教材及实验讲义。 四.实验容及步骤 (一)数/模转换器实验 1.实验电路原理如图1,DAC0832采用单缓冲方式,具有单双极性输入端(图中的Ua、Ub),编程产生以下锯齿波(从Ua和Ub输出,用示波器观察) 图1 实验连接参考电路图之一 编程提示: 1. 8位D/A转换器DAC0832的口地址为290H,输入数据与输出电压的关系为:

(UREF表示参考电压,N表示数数据),这里的参考电压为PC机的+5V电源。 2. 产生锯齿波只须将输出到DAC0832的数据由0循环递增。 3. 参考流程图(见图2): 图2 实验参考流程图之一 (二)模/数转换器 1. 实验电路原理图如图3。将实验(一)的DAC的输出Ua,送入ADC0809通道1(IN1)。 图3 实验连接参考电路图之二 2. 编程采集IN1输入的电压,在屏幕上显示出转换后的数据(用16进制数)。编程提示: 1. ADC0809的IN0口地址为298H,IN1口地址为299H。 2. IN0单极性输入电压与转换后数字的关系为:

单片机AD模数转换实验报告

1、掌握单片机与ADC0809的接口设计方法。 2、掌握Proteus软件与Keil软件的使用方法。 二、设计要求。 1、用Proteus软件画出电路原理图,在单片机的外部扩展片外三总线,并通过片外三总线与0809接口。 2、在0809的某一模拟量输入通道上接外部模拟量。 3、在单片机的外部扩展数码管显示器。 4、分别采用延时和查询的方法编写A/D转换程序。 5、启动A/D转换,将输入模拟量的转换结果在显示器上显示。 三、电路原理图。 图1、电路仿真图 四、实验程序流程框图和程序清单。

1、 查询法: ORG 0000H START: LJMP MAIN ORG 0100H MAIN: MOV SP, #2FH NT: MOV DPTR, #0FF78H MOVX @DPTR, A LOOP: JB , LOOP MOVX A, @DPTR MOV B, #51 DIV AB MOV R0, A MOV A, B MOV B, #5 DIV AB MOV R1, A MOV R2, B LCALL DIR SJMP NT DIR: MOV R7, #0 SJMP LOOP1 BH: MOV A, R1 MOV R2, A LOOP1: MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R2 MOVC A, @A+DPTR MOV P1, A LCALL DELAY INC R7 CJNE R7, #2, BH MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R0 MOVC A, @A+DPTR ANL A, #7FH MOV P1, A LCALL DELAY RET DELAY: MOV R5, #01H DL1: MOV R4, #8EH DL0: MOV R3, #02H DJNZ R3, $ DJNZ R4, DL0 DJNZ R5, DL1 RET WK: DB 10H DB 20H DB 40H DK: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H END display 送百分位字符代码送位选信号延时1ms 送十分位字符代码送位选信号延时1ms 送个位及小数点字符代码送位选信号延时1ms 熄灭第四位数码管延时1ms 返回

基本模数转换器(ADC)的设计

《数字逻辑电路分析与设计》课程 项目 实施报告 题目(A):基本模数转换器(ADC)的设计 组号: 8 任课教师:。。。 组长:。。。。 成员:。。。。 成员:。。。 成员:。。。 成员:。。。 联系方式:。。。 二零一四年十月二十五日

基本模数转换器(ADC )的设计 一.设计要求 (1) 设计一个每单次按下按钮,就能够实现数模转换的电路,并用LED 显示对应输入模拟电压(0—3V )的等级,当输入电压>3V 后,有“溢出”显示。 (2) 功能模块如图: (3) 图中的“模数转换”为本教材第六章的并行ADC 转换电路。在此基础上自行设计按键、LED 显示、模拟电压调节等模块,实现单次模数转换的功能。 模拟电压 调节模数转换LED 显示 按键 5V 电源

自行设计溢出标记的显示。 (4) 本电路的测试方法是,通过一个电位器对电源电压连续分压,作为ADC 的输入电压,每按下一次按键时,ADC 电路进行一次ADC 转换,并将转换的结果用数码管显示出来。注意不要求显示实际的电压值,仅显示模拟电压的量化等级。 二.电路原理图 LED 显示

三.设计思路 根据题目要求,我们的电路本应分五个个模块,但实验室缺少8-3编码器不能实现转化,所以只能有四个一下模块:模拟电压调节;比较电路;记忆模块;LED显示。模拟电压的调节可以用划变电阻来调节电压,理想中数模转化模块应由比较器,D触发器和编码器来实现,在我们的实际电路中我们只用了前两者。最终我们用LED的亮灭来显示结果。 具体原理叙述如下: 在比较电压时,将参考电压V ref经电阻分压器产生一组不同的量化电平V i:v1=1/16V ref,v2=3/16V ref,v3=5/16V ref ,v4=7/16V ref ,v5=9/16V ref ,v6=11/16V ref ,v7=13/16V ref ,v8=15/16V ref ,这些量化电平分别送到相应lm339比较器的反相输入端,而输入电压V同时作用于lm339比较器的同相输入端。 当V大于V i时,第i个比较器输出状态1,即高电平;反之,比较器输出状态0,即低电平。比较器的输出加到D触发器的输入端,在时钟脉冲CP的作用下,把比较器的输出存入触发器,得到稳定的状态输出Q,再由LED的亮暗状态显示,高电平则亮,低电平就暗。 当V≥15/16 V ref的时候,即V超过该转换器的最大允许的输入电压的时候产生“溢出”,我们使用了一个红色的报警LED亮作为显示。 此外,鉴于会因为按键时间的长短不一而造成的脉冲不整齐的问题,需要

单片机AD模数转换实验报告

一、实验目的和要求 1、掌握单片机与ADC0809的接口设计方法。 2、掌握Proteus软件与Keil软件的使用方法。 二、设计要求。 1、用Proteus软件画出电路原理图,在单片机的外部扩展片外三总线,并通过片外三总线与0809接口。 2、在0809的某一模拟量输入通道上接外部模拟量。 3、在单片机的外部扩展数码管显示器。 4、分别采用延时和查询的方法编写A/D转换程序。 5、启动A/D转换,将输入模拟量的转换结果在显示器上显示。 三、电路原理图。 图1、电路仿真图

四、实验程序流程框图和程序清单。 1、 查询法: ORG 0000H START: LJMP MAIN ORG 0100H MAIN: MOV SP, #2FH NT: MOV DPTR, #0FF78H MOVX @DPTR, A LOOP: JB P3.3, LOOP MOVX A, @DPTR MOV B, #51 DIV AB MOV R0, A MOV A, B MOV B, #5 DIV AB MOV R1, A MOV R2, B LCALL DIR SJMP NT DIR: MOV R7, #0 SJMP LOOP1 BH: MOV A, R1 MOV R2, A LOOP1: MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R2 MOVC A, @A+DPTR MOV P1, A LCALL DELAY INC R7 CJNE R7, #2, BH MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R0 MOVC A, @A+DPTR ANL A, #7FH MOV P1, A LCALL DELAY RET DELAY: MOV R5, #01H DL1: MOV R4, #8EH DL0: MOV R3, #02H DJNZ R3, $ DJNZ R4, DL0 DJNZ R5, DL1 RET WK: DB 10H DB 20H DB 40H DK: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H display 送百分位字符代码送位选信号延时1ms 送十分位字符代码送位选信号延时1ms 送个位及小数点字符代码 送位选信号延时1ms 熄灭第四位数码管 延时1ms 返回

模数转换器ADC0809应用原理

AD0809应用原理--很全面的资料 1. 0809的芯片说明: ADC0809是带有8位A/D转换器、8路多路开关以及微处理机兼容的控制逻辑的CMOS 组件。它是逐次逼近式A/D转换器,可以和单片机直接接口。 (1)ADC0809的内部逻辑结构 由上图可知,ADC0809由一个8路模拟开关、一个地址锁存与译码器、一个A/D转换器和一个三态输出锁存器组成。多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用A/D转换器进行转换。三态输出锁器用于锁存A/D转换完的数字量,当O E端为高电平时,才可以从三态输出锁存器取走转换完的数据。 (2).引脚结构 IN0-IN7:8条模拟量输入通道

如下图所示,从ADC0809的通道IN3输入0-5V之间的模拟量,通过ADC0809转换成数字量在数码管上以十进制形成显示出来。ADC0809的VREF接+5V电压。 4.电路原理图 5.程序设计: (1).进行A/D转换时,采用查询EOC的标志信号来检测A/D转换是否完毕,若完毕则把数据通过P0端口读入,经过数据处理之后在数码管上显示。 (2).进行A/D转换之前,要启动转换的方法: ABC=110选择第三通道 ST=0,ST=1,ST=0产生启动转换的正脉冲信号 . (3). 关于0809的计算: ad0809是根据逐位逼近的方法产生数据的。。 参考电压为0-5V的话。以0809八位255的转换精度每一位的电压值为(5-0)/255≈0. 0196V 设输入电压为X则: X-27*0.0196>=0则AD7=1否则AD7=0。 X-26*0.0196>=0则AD6=1否则AD6=0。 X-20*0.0196>=0则AD0=1否则AD0=0。 (27指2的7次方。26-------20同理) 若参考电压为0-1V (1-0)/255≈0.0039V精度自然高了。。可测量范围小了。 1)汇编源程序: CH EQU 30H DPCNT EQU 31H DPBUF EQU 33H GDATA EQU 32H ST BIT P3.0

AD转换实验报告

A/D转换实验报告

摘要 本设计是利用AT89C51、ADC0809、CD4027芯片为核心,加以其他辅助电路实现对信号的A/D转换,其中以单片机AT89C51为核心控制A/D转换器。先是对信号进行采集,然后用ADC0809对信号实现从模拟量到数字量的转换。改变采样数据,调整电路,使其达到精确转换。

目录 1.方案设计与论证 (1) 1.1理论分析 (1) 1.2输出、输入方案选择 (1) 1.3显示方案 (2) 1.4时钟脉冲选择 (2) 2.硬件设计 (2) 2.1A/D转换器模块 (2) 2.2单片机模块 (3) 2.3JK触发器模块 (4) 3软件设计 (4) 4.仿真验证与调试 (5) 4.1测试方法 (5) 4.2性能测试仪器 (7) 4.4误差分析 (7) 5.设计总结及体会 (5) 附录(一)实物图 (6) 附录(二)软件程序 (6)

1.方案设计与论证 1.1理论分析 8位A/D转换由芯片内部的控制逻辑电路、时序产生器、移位寄存器、D/A转换器及电压比较器组成,它具有将模拟量转换成数字量的特性,其原理图如下: AD转换原理图(1) 1.2输出、输入方案选择 A/D转换器有多路选择器,可选择八路模拟信号IN0~IN7中的一路进入A/D转换。现在选择IN0通道作为输入,则对应的地址码位ADD C=0、ADD B=0、ADD A=0。当转换完成后,OE=1,打开三态输出锁存缓冲器,将转换数据从D7~D0口输出到单片机的P0端口。 IN口输入D端口输出 A/D转换器 图(2)

1.3显示方案 单片机控制数码管显示有两种动态和静态两种方法,由于静态控制数码管每次只能显示一位,造成资源浪费,所以选择动态扫描,并增加变换频率。 1.4时钟脉冲选择 方案一:可以直接用矩形波来控制 方案二:ALE通过JK触发器完成二分频,然后 Q端接CLK。因为晶振的频率是12MHz,ALE的频率为12NHz×1/6=2MHz,经过JK 触发器二分频后就是1MHz. 2.硬件设计 2.1 A/D转换器模块 A/D转换电路图(3) 模拟量从IN0端口输入,经电压比较器后输入到控制电路,转换后从D0~D7口输出,地址码位ADD C=0、ADD B=0、ADD A=0。OE 端输出允许控制信号,EOC转换结束控制信号,EOC=0,转换结束后EOC=1。START转换启动信号,上升沿将片内寄存器清零,下降

TLC549模数转换器的控制实验

A/D芯片TLC549的转换与数据采集实验 1、实验目的 (1) 掌握A/D转换与单片机的接口方法; (2)掌握A/D芯片TLC549的编程方法; (3)掌握数据采集程序的设计方法; 2、实验内容 利用实验开发装置上的TLC549做A/D转换器,对电位器提供的模拟电压信号进行定时采样,结果在数码管上进行显示。 3、I/O地址 A/D转换芯片 TLC549 CLK P1.5 时钟位 DAT P1.7 数据位 CS P1.6 选片位 4、实验线路 将TLC549的CLK接P1.5、DAT接P1.7、CS接P1.6,将模拟电压输入端连到电位器的电压输出端,并接万用表进行输入电压测量。 5、实验步骤 在PC机输入源程序并汇编,然后下载到单片机上,进行调试。 调节电位器,电压从0V到5V变化,记录数码管的显示数值。记录到表中。

6、实验报告 (1) 整理好实验程序和实验记录,进行数据处理分析并做图。 (2) 数据采集中,如何实现精确的定时数据采集? (3) 数码管动态扫描显示程序设计中,显示刷新的时间如何确定? TLC549实验程序 #include "reg52.h" #include #define uchar unsigned char #define uint unsigned int /*************************************************** TLC549 A/D转换 ****************************************************/ sbit CLK=P1^5; sbit DAT=P1^7; sbit CS =P1^6; uchar TLC549ADC(void) { uchar i,x; CLK=0; DAT=1; CS=0; for(i=0;i<8;i++) { CLK=1; x<<=1; if(DAT==1) x++; CLK=0; } CS=1; return (x); } uint y; uchar x; void main() { while(1)

实验十ADC0832数模转换的显示

实验报告十 实验名称:ADC0832数模转换的显示 目的:ADC0832是8脚双列直插式双通道A/D转换器,能分别对两路模拟信号实现模—数转换,可以用在单端输入方式和差分方式下工作。ADC0832采用串行通信方式,通过DI 数据输入端进行通道选择、数据采集及数据传送。8位的分辨率(最高分辨可达256级),可以适应一般的模拟量转换要求。其内部电源输入与参考电压的复用,使得芯片的模拟电压输入在0~5V之间。具有双数据输出可作为数据校验,以减少数据误差,转换速度快且稳定性能强。独立的芯片使能输入,使多器件挂接和处理器控制变的更加方便。 ADC0832的工作原理: 正常情况下ADC0832 与单片机的接口应为4条数据线,分别是CS、CLK、DO、DI。但由于DO端与DI端在通信时并未同时使用并与单片机的接口是双向的,所以在I/O口资源紧张时可以将DO和DI并联在一根数据线上使用。当ADC0832未工作时其CS输入端应为高电平,此时芯片禁用,CLK 和DO/DI 的电平可任意。当要进行A/D转换时,须先将CS使能端置于低电平并且保持低电平直到转换完全结束。此时芯片开始转换工作,同时由处理器向芯片时钟(CLK)输入端输入时钟脉冲,DO/DI端则使用DI端输入通道功能选择的数据信号。在第一个时钟脉冲的下沉之前DI端必须是高电平,表示启始信号。在第二、三个脉冲下沉之前DI端应输入两位数据用于选择通道功能。 通道地址通道 工作方式说明 SGL/DIF ODD/SIGN 0 1 0 0 + - 差分方式 0 1 - + 1 0 + 单端输入方式 1 1 + 表1:通道地址设置表 如表1所示,当此两位数据为“1”、“0”时,只对CH0 进行单通道转换。当2位数据为“1”、“1”时,只对CH1进行单通道转换。当两位数据为“0”、“0”时,将CH0作为正输入端IN+,CH1作为负输入端IN-进行输入。当两位数据为“0”、“1”时,将CH0作为负输入端IN-,CH1 作为正输入端IN+进行输入。到第三个脉冲的下降之后DI端的输入电平就失去输入作用,此后DO/DI端则开始利用数据输出DO进行转换数据的读取。从第4个脉冲下降沿开始由DO端输出转换数据最高位Data7,随后每一个脉冲的下降沿DO端输出下一位数据。直到第11个脉冲时发出最低位数据Data0,一个字节的数据输出完成。也正是从此位开始输出下一个相反字节的数据,即从第11个字节的下降沿输出Data0。随后输出8位数据,到第19 个脉冲时数据输出完成,也标志着一次A/D 转换的结束。最后将CS置高电平禁用芯片,直接将转换后的数据进行处理就可以了。时序说明请参照图4。

AD转换实验报告

8292924809 基于单片机的AD转换电路 专业: 班级: 学号: 组员: 指导老师: 年月日

目录 键入章标题(第 1 级) (1) 键入章标题(第2 级) (2) 键入章标题(第3 级) (3) 键入章标题(第 1 级) (4) 键入章标题(第2 级) (5) 键入章标题(第3 级) (6)

引言 A/D转换是指将模拟信号转换为数字信号,这在信号处理、信号传输等领域具有重要的意义。常用的A/D转换电路有专用A/D集成电路、单片机ADC模块,前者精度高、电路复杂,后者成本低、设计简单。基于单片机的A/D转换电路在实际电路中获得了广泛的应用。 一般的A/D转换过程是通过采样、保持、量化和编码4个步骤完成的,这些步骤往往是合并进行的。当A/D转换结束时,ADC输出一个转换结束信号数据。CPU可由多种方法读取转换结果:a查询方式;b中断方式;c DMA方式。 通道8为A/D转换器,ADC0809是带有8为A/D转换器、8路多路开关以及微处理机兼容的控制逻辑的CMOS组件。它是逐次逼近式A/D转换器,可以和单片机直接接口。ADC0809由一个8路模拟开关、一个地址锁存与译码器、一个A/D转换器和一个三态输出锁存器组成。多路开关可选通8个模拟通道,允许8路模拟量分时输出,共用A/D转换器进行转换。三台输出锁存器用于锁存A/D转换完的数字量,当OE端为高电平时,才可以从三态输出锁存器取走转换完的数据。 一个实际系统中需用传感器把各种物理参数测量出来,并转换为电信号,在经过A/D转换器,传送给计算机;微型计算机加工后,通过D/A转换器去控制各种参数量。

单片机AD模数转换实验报告

单片机AD模数转换实验报告

一、实验目的和要求 1、掌握单片机与ADC0809的接口设计方法。 2、掌握Proteus软件与Keil软件的使用方法。 二、设计要求。 1、用Proteus软件画出电路原理图,在单片机的外部扩展片外三总线,并通过片外三总线与0809接口。 2、在0809的某一模拟量输入通道上接外部模拟量。 3、在单片机的外部扩展数码管显示器。 4、分别采用延时和查询的方法编写A/D 转换程序。 5、启动A/D转换,将输入模拟量的转换

结果在显示器上显示。 三、电路原理图。 图1、电路仿真图 四、实验程序流程框图和程序清单。 1、查询法: ORG 0000H START: LJMP MAIN ORG 0100H

MAIN: MOV SP, #2FH NT: MOV DPTR, #0FF78H MOVX @DPTR, A LOOP: JB P3.3, LOOP MOVX A, @DPTR MOV B, #51 DIV AB MOV R0, A MOV A, B MOV B, #5 DIV AB MOV R1, A MOV R2, B LCALL DIR SJMP NT DIR: MOV R7, #0 SJMP LOOP1 BH: MOV A, R1 MOV R2, A LOOP1: MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R2 MOVC A, @A+DPTR MOV P1, A LCALL DELAY INC R7 CJNE R7, #2, BH MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R0 MOVC A, @A+DPTR ANL A, #7FH MOV P1, A LCALL DELAY RET DELAY: M OV R5, #01H DL1: MOV R4, #8EH DL0: MOV R3, #02H DJNZ R3, $ DJNZ R4, DL0 DJNZ R5, DL1 RET WK: DB 10H DB 20H DB 40H DK: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H END display 送百分位字符代码送位选信号延时1ms 送十分位字符代码送位选信号延时1ms 送个位及小数点字符代码送位选信号延时1ms 熄灭第四位数码管延时1ms 返回

AD0804模数转换实验报告

FPGA实验报告 题目:ADC0804并行A/D实验班级:20110821 姓名:张俊卿 学号:2011071226 指导教师:张文旭 日期:2014.04.25

ADC0804实验报告 一.实验原理 1.1 输入模拟量转数字量实验原理 本实验要求利用ADC0804实现输入模拟量到数字量的转换,并将转换结果在数码管上输出。由已知电路得ADC0804的输入模拟电压用一个滑动变阻器对电源分压得到,它的8位输出AD0~AD7与FPGA的8个管脚相连,即input [7:0] data。而data就是数码管的动态显示数据。通过循环扫描,使数码管正确的显示出data的十进制数形式。 以下为实验箱内ADC0804与FPGA的连接关系, 图2.ADC0804与FPGA连接图 二.实验应用的器件分析 2.1 ADC0804原理 ADC0804是8位全MOS中速逐次逼近式A/D转换器,片内有三态数据输出锁存器,可以和单片机直接接口。单通道输入,转换时间大约100us。ADC0804转换时序是:当CS=0许可进行A/D转换。WR由低到高时,A/D开始转换,一次转换共需要66-73个时钟周期。CS与WR同时有效时启动A/D转换,转换结束产生INTR信号(低电平有效),可供查询或者中断信号。在CS和RD的控制下可以读取数据结果。 ADC0804 为一只具有20引脚8位CMOS 连续近似的A/D 转换器,其规格如下: (1) 高阻抗状态输出 (2) 分辨率:8 位(0~255) (3) 存取时间:135 ms (4) 转换时间:100 ms

(5) 总误差:-1~+1LSB (6) 工作温度:ADC0804C为0度~70度;ADC0804L为-40 度~85 度 (7) 模拟输入电压范围:0V~5V (8) 参考电压:2.5V (9) 工作电压:5V (10) 输出为三态结构 1. 接脚说明见图1: 2. PIN1 (CS ):Chip Select,与RD、WR 接脚的输入电压高低一起判断读取或写入与否,当其为低位准(low) 时会active。 3. PIN2 ( RD ):Read。当CS 、RD 皆为低位准(low) 时,ADC0804 会将转换后的数字讯号经由DB7 ~ DB0 输出至其它处理单元。 4. PIN3 (WR ):启动转换的控制讯号。当CS 、WR 皆为低位准(low) 时ADC0804 做清除的动作,系统重置。当WR 由0→1且CS =0 时,ADC0804会开始转换信号,此时INTR 设定为高位准(high)。 5. PIN4、PIN19 (CLK IN、CLKR):频率输入/输出。频率输入可连接处理单元的讯号频率范围为100 kHz 至800 kHz。而频率输出频率最大值无法大于640KHz,一般可选用外部或内部来提供频率。若在CLK R 及CLK IN 加上电阻及电容,则可产生ADC 工作所需的时序,其频率约为: 6. PIN5 ( INTR ):中断请求。转换期间为高位准(high),等到转换完毕时INTR 会变为低位准(low)告知其它的处理单元已转换完成,可读取数字数据。 7. PIN6、PIN7 (VIN(+)、VIN(-)):差动模拟讯号的输入端。 输入电压VIN=VIN(+)-VIN(-),通常使用单端输入,而将VIN(-)接地。 8. PIN8 (A GND):模拟电压的接地端。 9. PIN9 (VREF∕2)∶模拟参考电压输入端。VREF 为模拟输入电压VIN 的上限值。若PIN9空接,则VIN 的上限值即为VCC。 10. PIN10 (D GND)∶数字电压的接地端。 11. PIN11 ~ PIN18 (DB7 ~ DB0)∶转换后之数字数据输出端。 12. PIN20 (Vcc)∶驱动电压输入端。

模数转换器实验

实验七 D / A、A / D转换器 一、实验目的 1、了解D/ A和A / D转换器的基本工作原理和基本结构 2、掌握大规模集成D /A和A / D转换器的功能及其典型应用 二、实验原理 在数字电子技术的很多应用场合往往需要把模拟量转换为数字量,称为模/ 数转换器(A /D转换器,简称ADC);或把数字量转换成模拟量,称为数/ 模转换器(D / A转换器,简称DAC)。完成这种转换的线路有多种,特别是单片大规模集成A/D、D / A转换器问世,为实现上述的转换提供了极大的方便。使用者可借助于手册提供的器件性能指标及典型应用电路,即可正确使用这些器件。本实验将采用大规模集成电路DAC0832实现D /A转换,ADC0809实现A / D转换。 1、 D /A转换器DAC0832 DAC0832是采用CMOS工艺制成的单片电流输出型8位数/ 模转换器。图15-1是DAC0832的逻辑框图及引脚排列。 图15-1 DAC0832单片D/A转换器逻辑框图和引脚排列 器件的核心部分采用倒T型电阻网络的8位D/A转换器,如图15-2所示。它是由倒T型R-2R电阻网络、模拟开关、运算放大器和参考电压V 四部分组 REF 成。

图15-2 倒T 型电阻网络D / A 转换电路 运放的输出电压为 ++=----2n 2n 1n 1n n f REF O 2D 2(D R 2R V V ···…0·2D 0+) 由上式可见,输出电压V O 与输入的数字量成正比,这就实现了从数字量到模拟量的转换。 一个8位的D / A 转换器,它有8个输入端,每个输入端是8位二进制数的一位,有一个模拟输出端,输入可有28 =256个不同的二进制组态,输出为256个电压之一,即输出电压不是整个电压范围内任意值,而只能是256个可能值。 DAC0832的引脚功能说明如下: D 0-D 7 :数字信号输入端 ILE :输入寄存器允许,高电平有效 CS : 片选信号,低电平有效 1WR :写信号1,低电平有效 XFER :传送控制信号,低电平有效 2WR :写信号2,低电平有效 I OUT1,I OUT2:DAC 电流输出端 R fB :反馈电阻,是集成在片内的外接运放的反馈电阻 V REF :基准电压(-10~+10)V V CC :电源电压(+5~+15)V AGND :模拟地 > 可接在一起使用 NGND :数字地 DAC0832输出的是电流,要转换为电压,还必须经过一个外接的运算放大器,实验线路如图15-3所示。

模 数(A D)转换器(微机实验报告)

模/数(A/D)转换器 一、实验目的 1、掌握ADC0809模数转换芯片与计算机的连接方法; 2、了解ADC0809芯片的功能及编程方法; 3、了解计算机如何进行数据采集。 二、实验设备 1、PC机一台 2、TPC-H微机接口实验系统实验箱一台 3、连接导线若干 三、实验内容 1、实验电路原理图如图1。 ADC0809是8位A/ D转换器,每采集一次一般需100 s。由于ADC0809 A/ D转换器转换结束后会自动产生EOC信号(高电平有效)。通过实验台左下角电位器RW1输出0~5V直流电压送入ADC0809通道0(IN0),利用debug的输出命令启动A/D转换器,输入命令读取转换结果,验证输入电压与转换后数字的关系。启动IN0开始转换: O 298, 0 读取转换结果: I 298 图1 模数转换电路 2、编程采集IN0输入的电压,在屏幕上显示出转换后的数据(用16进制数)。 3、将JP3的1、2短接,使IN1处于双极性工作方式,并给IN1输入一个低频交流信号(幅度为±5V),编程采集这个信号数据并在屏幕上显示波形。 四、编程提示

1、ADC0809的IN0口地址为298H,IN1口地址为299H。 2、IN0单极性输入电压与转换后数字的关系为: 其中Ui为输入电压,UREF为参考电压,这里的参考电压为PC机的+5V电源。 3、一次A/D转换的程序可以为 MOV DX,口地址 OUT DX,AL ;启动转换 ;延时 IN AL,DX ;读取转换结果放在AL 五、参考流程图 图2 流程图1

图3 流程图2 六、实验源程序 code segment assume cs:code start: mov dx,298h ;启动A/D转换器 out dx,al mov cx,0ffh ;延迟 delay: loop delay in al,dx ;从A/D转换器输入数据 mov bl,al ;将Al保存到BL mov cl,4 shr al,cl ;将AL右移四位 call disp ;将显示子程序显示其高四位 mov al,bl

模数转换器ADC0808的应用

实训报告十 实训目的: 通过实现由ADC0808作为A/D转换器对RV1进行电压测量,并在数码管上显示;了解ADC0808的工作方式,进行模拟数据的采样,从而利用c语言编程实现单片机控制处理信息。 实训原理图:

实训步骤: 1.在ptoteus平台找出所需的元器件 2.理解该实验的原理,按照原理图画出仿真图; 3.根据实验要求写出如下程序: #include unsigned char code dispcode[4]={0x10,0x20,0x40,0x00}; unsigned char temp; unsigned char dispbuf[4]; unsigned char count=0; unsigned char getdata; sbit ST=P3^0; sbit OE=P3^1; sbit EOC=P3^2; sbit CLK=P3^7; void delay(unsigned int i) { unsigned int j; for (j=0;j

{ EA=1; ET0=1; ET1=1; TMOD=0x12; TH0=216; TL0=216; TH1=(65536-4000)/256; TL1=(65536-4000)%256; TR1=1; TR0=1; } void conversion() { ST=0; ST=1; ST=0; while(EOC==0) {;} OE=1; getdata=P0; OE=0; temp=getdata; dispbuf[0]=getdata/100; temp=temp-dispbuf[0]*100; dispbuf[1]=temp/10; temp=temp-dispbuf[1]*10; dispbuf[2]=temp; } void T0X()interrupt 1 { CLK=~CLK; } void T1X() interrupt 3 { TH1=(65536-4000)/256; TL1=(65536-4000)%256; for(count=0;count<=3;count++) { P1=dispbuf[count]|dispcode[count];//输出显示控制代码 delay(50);

模数转换实验报告

单片机控制ADC0809的模数转换与显示 一、实验内容和要求 本题目对单片机控制ADC0809(Proteus的元件库中没有ADC0809,用ADC0808来代替)的通道3的电压模拟量进行模数转换,转换为数字量后,显示在3位数码管上。调节图中的电位器,可观察到数码管显示的电压值在变化。 二、实验主要仪器设备和材料 计算机一台 三、实验方法、步骤及结果测试 所有操作都在ISIS中进行,步骤如下。 (一)、Proteus电路设计 1.从Proteus库中选取元器件 (1)AT89C51:单片机; (2)RES:电阻; (3)7SEG-MAPX4-CC-BLUE (4)CAP、CAP-ELEC:电容、电解电容 (5)CRYSTAL:晶振; (6)BUTTON:开关 (7)BUTTON (8)ADC0808 (9)POT-HG (10)LED-YELLOW (11)MAX7219 (12)RESONATOR 2.放置元器件、放置电源和地、连线、元器件属性设置、电气检测 所有操作都在ISIS中进行 完成的电路图设计如图

(二)、源程序设计 1、流程图 2、通过Keil u Vision4建立工程,再建立源程序文件

源程序如下 主机程序: LED_0 EQU 30H LED_1 EQU 31H LED_2 EQU 32H ADC EQU 35H CLOCK BIT P2.4 ST BIT P2.5 EOC BIT P2.6 OE BIT P2.7 ORG 00H SJMP START ORG 0BH LJMP INT_T0 START: MOV LED_0, #00H MOV P2,#0FFH MOV LED_1,#00H MOV LED_2,#00H MOV DPTR,#TABLE MOV TMOD,#02H ;设置定时器工作方式2 MOV TH0,#245 MOV TL0,#00H MOV IE,#82H ;开总中断和定时器0中断 SETB TR0 ;启动定时器0 WAIT: CLR ST SETB ST CLR ST JNB EOC,$ ;判断A/D转换结束否 SETB OE ;允许数据量输出 MOV ADC,P3 CLR OE MOV A,ADC MOV B,#51 DIV AB MOV LED_2, A MOV A,B MOV B,#5 DIV AB MOV LED_1, A MOV LED_0, B LCALL DISP ;跳至显示子程序 SJMP WAIT

模数转换器基本原理及应用

Σ-Δ模数转换器基本原理及应用 一、Σ-Δ ADC基本原理 Σ-Δ ADC以很低的采样分辨率(1位)和很高的采样速率将模拟信号数字化, 通过使用过采样、噪声整形和数字滤波等方法增加有效分辨率, 然后对ADC输出进行采样抽取处理以降低有效采样速率。Σ-ΔADC的电路结构是由非常简单的模拟电路(一个比较器、一个开关、一个或几个积分器及模拟求和电路)和十分复杂的数字信号处理电路构成。要了解Σ-ΔADC的工作原理, 必须熟悉过采样、噪声整形、数字滤波和采样抽 取等基本概念 1.过采样 ADC是一种数字输出与模拟输入成正比的电路, 图1给出了理想3位单极性ADC的转换特性, 横坐标是输入电压U IN 的相对值, 纵坐标是经过采样量化的数字输出量, 以二进制000~111表示。理想ADC第一位的变迁发生在相当于1/2LSB的模拟电压值上, 以后每隔1LSB都发生一次变迁, 直至距离满度的1 1/2 LSB。因为ADC的模拟量输入可以是任何值, 但数字输出是量化的, 所以实际的模拟输入与数字输出之间存在±1/2LSB的量化误差。在交流采样应用中, 这种量化误差会产生量化噪声。 图1 理想3位ADC转换特性 如果对理想ADC加一恒定直流输入电压, 那么多次采样得到的数字输出值总是相同的, 而且分辨率受量化误差的限制。如果在这个直流输入信号上叠加一个交流信号, 并用比这交流信号频率高得多的采样频率进行采样, 此时得到的数字输出值将是变化的, 用这些采样结果的平均值表示ADC的转换结果便能得到比用同样ADC高得多的采样分辨率, 这种方法称作过采样(oversampling)。如果模拟输入电压本身就是交流信号, 则不必另叠加一个交流信号。采用过采样方法(采样频率远高于输入信号频率)也同样可提高ADC的分辨率。 由于过采样的采样速率高于输入信号最高频率的许多倍, 这有利于简化抗混叠滤波器的设计, 提高信噪比并改善动态范围。可以用频域分析方法来讨论过采样问题。由于直流信号转换具有的量化误差达1/2LSB, 所以数据采样系统具有量化噪声。一个理想的常规N位ADC的采样量化噪声有效值为q/12,均匀分布在奈奎斯特频带直流至fs/2范围内, 如图2所示。其中q为LSB的权重, fs为采样速率, 模拟低通滤波器将滤除fs/2以上的噪声。如果用Kfs的采样速率对输入信号进行采样(K

相关文档
相关文档 最新文档