文档库 最新最全的文档下载
当前位置:文档库 › 芯片手册

芯片手册

芯片手册
芯片手册

74系列

74ls48 BCD—7段译码器-内部上拉输出驱动 1 7473 TTL 带清除负触发双J-K触发器 1 7474 TTL 带置位复位正触发双D触发器 2 7476 TTL 带预置清除双J-K触发器 2 7483 TTL 四位二进制快速进位全加器 3 7485 TTL 四位数字比较器 4 7486 TTL 2输入端四异或门 5 7490 TTL 可二-五分频十进制计数器 5 7495 TTL 四位并行输入-输出移位寄存器7 74107 TTL 带清除主从双J-K触发器8 74109 TTL 带预置清除正触发双J-K触发器8 74122 TTL 可再触发单稳态多谐振荡器9 74126 TTL 三态输出低有效四总线缓冲门9 74138 TTL 3-8线译码器-复工器10 74139 TTL 双2-4线译码器-复工器11 74150 TTL 16选1数据选择-多路开关12 74154 TTL 4线—16线译码器13 74157 TTL 同相输出四2选1数据选择器14 74160 TTL 可预置BCD异步清除计数器15 74165 TTL 八位并行入-串行输出移位寄存器16 74166 TTL 八位并入-串出移位寄存器16 74169 TTL 二进制四位加-减同步计数器17 74173 TTL 三态输出四位D型寄存器18 74174 TTL 带公共时钟和复位六D触发器18 74175 TTL 带公共时钟和复位四D触发器19 74180 TTL 9位奇数-偶数发生器-校验器20 74185 TTL 二进制—BCD代码转换器21 74192 TTL 可预置BCD双时钟可逆计数器22 74194 TTL 四位双向通用移位寄存器22 74197 TTL 二进制可预置锁存器-计数器23 74245 TTL 八同相三态总线收发器23 74247 TTL BCD—7段15V输出译码-驱动器23 74248 TTL BCD—7段译码-升压输出驱动器24 74273 TTL 带公共时钟复位八D触发器24 74299 TTL 三态输出八位通用移位寄存器25 74323 TTL 三态输出八位双向移位-存贮寄存器25

CD系列

4008 CMOS 4位二进制并行进位全加器26 4013 CMOS 带置位-复位的双D触发器28 4014 CMOS 8级同步并入串入-串出移位寄存器29

4015 CMOS 双4位串入-并出移位寄存器29 4021 CMOS 异步8位并入同步串入-串出寄存器30 4027 CMOS 带置位复位双J-K主从触发器32 4028 CMOS BCD- 十进制译码器32 4030 CMOS 四异或门33 4042 CMOS 四时钟控制D 锁存器34 4043 CMOS 四三态或非R-S 锁存器36 4051 CMOS 8选1双向模拟开关36 4052 CMOS 双4选1双向模拟开关37 4056 CMOS BCD—7段译码-驱动器39 4070 CMOS 四异或门40 4077 CMOS 四异或非门41 4094 CMOS 8级移位存储总线寄存器42 4099 CMOS 八位可寻址锁存器42 4502 CMOS 可选通六反相缓冲器43 4503 CMOS 六三态同相缓冲器44 4506 CMOS 双二组2输入可扩展与或非门45 4511 CMOS BCD-7段锁存-译码-LED驱动47 4512 CMOS 8通道数据选择器48 4513 CMOS BCD-7段译码-锁存-驱动器49 4514 CMOS 四位锁存-4-16高有效译码器50 4515 CMOS 四位锁存-4-16低有效译码器51 4528 CMOS 双单稳态多谐振荡器52 4529 CMOS 双四路或单八路模拟开关53 4531 CMOS 12位奇偶校验电路54 4538 CMOS 双精密单稳多谐振荡器55 4539 CMOS 双四路数据选择器-多路开关56 4541 CMOS 可编程振荡器-计时器57 4543 CMOS BCD-7段译码-锁存-液晶驱动器58 4544 CMOS BCD-7段译码-消隐-驱动器59 4547 CMOS BCD-7段译码-大电流驱动器60 4549 CMOS 逐级近似寄存器61 4553 CMOS 3位数BCD计数器62 4557 CMOS 1-64位可变字长移位寄存器62 4558 CMOS BCD-7段译码器63 4559 CMOS 逐级近似寄存器64 4560 CMOS BCD全加器65 4561 CMOS “9”补码电路66 4568 CMOS 相位比较器-可编辑计数器66 4583 CMOS 双多能施密特触发器67 4585 CMOS 4位数字比较器68 40110 CMOS 十进制加减计数-译码-锁存-驱动69 40117 CMOS 10线—4线BCD优先编码器70 40174 CMOS 六D触发器71

40175 CMOS 四D触发器71 40181 CMOS 4位算术逻辑单元72 40257 CMOS 四2线-1线数据选择器-多路传输73

光电耦合

4n35-36-37光电藕合器(达林顿输出)74 4n25 26 74 6n137光电藕合器74 std4nb25 光电藕合器75 6n136 75 Tlp521光电藕合器76 4n25光电藕合器76

模拟集成电路

Ua741高增益运放77 Ua725高精度运算放大器77 ICL7650斩波稳零运放78 LM358双运放78 CMOS集成四运放79 LM324四运放79

74系列

74ls48 BCD—7段译码器-内部上拉输出驱动

7473 TTL 带清除负触发双J-K触发器

7474 TTL 带置位复位正触发双D触发器

7476 TTL 带预置清除双J-K触发器

7483 TTL 四位二进制快速进位全加器

7485 TTL 四位数字比较器

7486 TTL 2输入端四异或门

7490 TTL 可二-五分频十进制计数器

7495 TTL 四位并行输入-输出移位寄存器

74107 TTL 带清除主从双J-K触发器

74109 TTL 带预置清除正触发双J-K触发器

74122 TTL 可再触发单稳态多谐振荡器

74126 TTL 三态输出低有效四总线缓冲门

74138 TTL 3-8线译码器-复工器

74139 TTL 双2-4线译码器-复工器

74150 TTL 16选1数据选择-多路开关

74153 TTL 双4选1数据选择器

74154 TTL 4线—16线译码器

74157 TTL 同相输出四2选1数据选择器

74160 TTL 可预置BCD异步清除计数器

十进制同步计数器(异步清除)

74165 TTL 八位并行入-串行输出移位寄存器

74166 TTL 八位并入-串出移位寄存器

74169 TTL 二进制四位加-减同步计数器

芯片手册

74系列 74ls48 BCD—7段译码器-内部上拉输出驱动 1 7473 TTL 带清除负触发双J-K触发器 1 7474 TTL 带置位复位正触发双D触发器 2 7476 TTL 带预置清除双J-K触发器 2 7483 TTL 四位二进制快速进位全加器 3 7485 TTL 四位数字比较器 4 7486 TTL 2输入端四异或门 5 7490 TTL 可二-五分频十进制计数器 5 7495 TTL 四位并行输入-输出移位寄存器7 74107 TTL 带清除主从双J-K触发器8 74109 TTL 带预置清除正触发双J-K触发器8 74122 TTL 可再触发单稳态多谐振荡器9 74126 TTL 三态输出低有效四总线缓冲门9 74138 TTL 3-8线译码器-复工器10 74139 TTL 双2-4线译码器-复工器11 74150 TTL 16选1数据选择-多路开关12 74154 TTL 4线—16线译码器13 74157 TTL 同相输出四2选1数据选择器14 74160 TTL 可预置BCD异步清除计数器15 74165 TTL 八位并行入-串行输出移位寄存器16 74166 TTL 八位并入-串出移位寄存器16 74169 TTL 二进制四位加-减同步计数器17 74173 TTL 三态输出四位D型寄存器18 74174 TTL 带公共时钟和复位六D触发器18 74175 TTL 带公共时钟和复位四D触发器19 74180 TTL 9位奇数-偶数发生器-校验器20 74185 TTL 二进制—BCD代码转换器21 74192 TTL 可预置BCD双时钟可逆计数器22 74194 TTL 四位双向通用移位寄存器22 74197 TTL 二进制可预置锁存器-计数器23 74245 TTL 八同相三态总线收发器23 74247 TTL BCD—7段15V输出译码-驱动器23 74248 TTL BCD—7段译码-升压输出驱动器24 74273 TTL 带公共时钟复位八D触发器24 74299 TTL 三态输出八位通用移位寄存器25 74323 TTL 三态输出八位双向移位-存贮寄存器25 CD系列 4008 CMOS 4位二进制并行进位全加器26 4013 CMOS 带置位-复位的双D触发器28 4014 CMOS 8级同步并入串入-串出移位寄存器29

cc2590 芯片手册

FEATURES APPLICATIONS DESCRIPTION CC2590BLOCK DIAGRAM RF_P RXTX RF_N PAEN EN CC2590 https://www.wendangku.net/doc/9416663789.html,........................................................................................................................................................................................SWRS080–SEPTEMBER2008 2.4-GHz RF Front End,14-dBm output power ?All2.4-GHz ISM Band Systems ?Seamless Interface to2.4-GHz Low Power RF Devices from Texas Instruments?Wireless Sensor Networks ?Wireless Industrial Systems ?Up to+14-dBm(25mW)Output Power ?IEEE802.15.4and ZigBee Systems ?6-dB Typical Improved Sensitivity on CC24xx ?Wireless Consumer Systems and CC2500,CC2510,and CC2511 ?Wireless Audio Systems ?Few External Components –Integrated Switches –Integrated Matching Network CC2590is a cost-effective and high performance RF –Integrated Balun Front End for low-power and low-voltage 2.4-GHz –Integrated Inductors wireless applications. –Integrated PA CC2590is a range extender for all existing and future –Integrated LNA 2.4-GHz low-power RF transceivers,transmitters and ?Digital Control of LNA Gain by HGM Pin System-on-Chip products from Texas Instruments.?100-nA in Power Down(EN=PAEN=0)CC2590increases the link budget by providing a power amplifier for increased output power,and an ?Low Transmit Current Consumption LNA with low noise figure for improved receiver –22-mA at3-V for+12-dBm,PAE=23% sensitivity. ?Low Receive Current Consumption CC2590provides a small size,high output power RF – 3.4-mA for High Gain Mode design with its4x4-mm QFN-16package. – 1.8-mA for Low Gain Mode CC2590contains PA,LNA,switches,RF-matching,? 4.6-dB LNA Noise Figure,including T/R Switch and balun for simple design of high performance and external antenna match wireless applications. ?RoHS Compliant4×4-mm QFN-16Package ? 2.0-V to3.6-V Operation Please be aware that an important notice concerning availability,standard warranty,and use in critical applications of Texas Instruments semiconductor products and disclaimers thereto appears at the end of this data sheet.

SSD1306 OLED驱动芯片中文手册

简介 SSD1306是一个单片CMOS OLED/PLED驱动芯片可以驱动有机/聚合发光二极管点阵图形显示系统。由128 segments 和64 Commons组成。该芯片专为共阴极OLED面板设计。 SSD1306中嵌入了对比度控制器、显示RAM和晶振,并因此减少了外部器件和功耗。有256级亮度控制。数据/命令的发送有三种接口可选择:6800/8000串口,I2C接口或SPI接口。适用于多数简介的应用,注入移动电话的屏显,MP3播放器和计算器等。 特性 1.分辨率:128 * 64 点阵面板 2.电源: a)VDD = 1.65V to 3.3V 用于IC逻辑 b)VCC = 7V to 15V 用于面板驱动 3.点阵显示 a)OLED驱动输出电压,最大15V b)Segment最大电流:100uA c)常见最大反向电流:15mA d)256级对比亮度电流控制 4.嵌入式128 * 64位SRAM显示缓存 5.引脚选择MCU接口 a)8位6800/8000串口 b)3/4线SPI接口 c)I2C接口 6.水平和垂直两个方向的屏幕保存连续滚动功能。 7.RAM写同步信号 8.可编程的帧率和多重比率 9.行重映射和列冲映射 10.片上晶振 11.两种封装 COG和COF 12.工作温度范围广:‐40℃ to 85℃ 订购信息 暂不翻译

结构方框图 功能块描述 MCU接口选择 SSD1306MCU接口由8个数据引脚和5个控制引脚组成。引脚分配由不同的接口选择决定, 详情如下表。不同的MCU模块可以通过BS[2:0]引脚的硬件选择设置。

MCU 并口 6800系列接口 不翻译 MCU 并口8080系列接口 不翻译 MCU串口(4‐wire SPI) 不翻译 MCU串口(3‐wire SPI) 不翻译 MCU I2C 接口 I2C通讯接口由从机地址为SA0,I2C总线数据信号(SDAout/D2输出和SDAin/D1输入)和I2C 总线时钟信号SCL(D0)组成。数据和时钟信号线都必须接上上拉电阻。RES#用来初始化设备。 a.从机地址位(SA0) SSD1306在发送或接受任何信息之前必须识别从机地址。设备将会响应从机地址,后面跟随着从机地址位(SA0位)和读写选择位(R/W#位),格式如下: b7 b6 b5 b4 b3 b2 b1 b0 0 1 1 1 1 0 SA0 R/W# SA0位为从机地址提供了一个位的拓展。0111100或0111101都可以做为SSD1306的从机地址。D/C#引脚作为SA0用于从机地址选择。R/W#为用来决定I2C总线接口的操作模式。R/W# = 1,读模式。R/W# = 0 写模式 b.I2C总线数据信号SDA SDA作为发送者和接受者之间的通讯通道。数据和应答都是通过SDA发送。 应该注意的是ITO轨道电阻和SDA引脚上的上拉电阻会变成一个潜在的电压分压器。结

74系列芯片数据手册大全

74系列芯片数据手册大全 74系列集成电路名称与功能常用74系列标准数字电路的中文名称资料7400 TTL四2输入端四与非门 7401 TTL 集电极开路2输入端四与非门 7402 TTL 2输入端四或非门 7403 TTL 集电极开路2输入端四与非门 7404 TTL 六反相器 7405 TTL 集电极开路六反相器 7406 TTL 集电极开路六反相高压驱动器 7407 TTL 集电极开路六正相高压缓冲驱动器 7408 TTL 2输入端四与门 7409 TTL 集电极开路2输入端四与门 7410 TTL 3输入端3与非门 74107 TTL 带清除主从双J-K触发器 74109 TTL 带预置清除正触发双J-K触发器 7411 TTL 3输入端3与门 74112 TTL 带预置清除负触发双J-K触发器 7412 TTL 开路输出3输入端三与非门 74121 TTL 单稳态多谐振荡器 74122 TTL 可再触发单稳态多谐振荡器 74123 TTL 双可再触发单稳态多谐振荡器 74125 TTL 三态输出高有效四总线缓冲门 74126 TTL 三态输出低有效四总线缓冲门 7413 TTL 4输入端双与非施密特触发器 74132 TTL 2输入端四与非施密特触发器 74133 TTL 13输入端与非门 74136 TTL 四异或门 74138 TTL 3-8线译码器/复工器 74139 TTL 双2-4线译码器/复工器 7414 TTL 六反相施密特触发器 74145 TTL BCD—十进制译码/驱动器 7415 TTL 开路输出3输入端三与门 74150 TTL 16选1数据选择/多路开关 74151 TTL 8选1数据选择器 74153 TTL 双4选1数据选择器 74154 TTL 4线—16线译码器 74155 TTL 图腾柱输出译码器/分配器 74156 TTL 开路输出译码器/分配器 74157 TTL 同相输出四2选1数据选择器 74158 TTL 反相输出四2选1数据选择器 7416 TTL 开路输出六反相缓冲/驱动器 74160 TTL 可预置BCD异步清除计数器 74161 TTL 可予制四位二进制异步清除计数器

74HC595中文芯片手册

74HC595 8位移位寄存器与输出锁存器 功能描述 这种高速移位寄存器采用先进的硅栅CMOS技术。该装置具有高的抗干扰性和标准CMOS集成电路的低功率消耗,以及用于驱动15个LS-TTL负载的能力。 此装置包含馈送一个8位D型存储寄存器的8位串行入,并行出移位寄存器。存储寄存器具有8 TRI-STATE e输出。提供了用于两个移位寄存器和存储寄存器独立的时钟。 移位寄存器有直接首要明确,串行输入和串行输出(标准)引脚级联。两个移位寄存器和存储寄存器的使用正边沿触发的时钟。如果两个时钟被连接在一起时,移位寄存器的状态 将总是提前存储寄存器的一个时钟脉冲。 该54HC/74HC逻辑系列就是速度,功能和引脚输出与标准54LS/74LS逻辑系列兼容。所有输入免受损害,由于静电放电由内部二极管钳位到VCC和地面。 产品特点 1低静态电流:80 mA最大值(74HC系列) 2低输入电流为1mA最大 38位串行输入,并行出移位寄存器以存储 4宽工作电压范围:2V ± 6V 5级联 6移位寄存器直接明确 7保证移频率:DC至30兆赫

TL/F/5342-1 Top View Order Number MM54HC5S5 or MM74HC595 DuaHn-Line Package RCK SCK SCLR G Function X X X H Q A thruQH = TRI-STATE X X L L Shift Register cleared Q H -O X T H L Shift Register clocked C)N = Qnd ,Qo = SER T X H L Con tents of Shift Register transferred to output latches Operating Conditions Supply Voltage (V QC ) -0.5 to +7.0V DC Input Voltage (V IM ) -1.5 toV C c+15V DC OutpiX Voltage (V OUT ) -0.5 toVcc+0.5V Clamp Diode Current (I IK . I(X ) ±20 mA DC Output Current, per pin (lour) ±35 mA DC Vcc or GND Current, per pin (Icc) ±70 mA Storage Temperature Range (T STG ) -65"Cto+15(rC Power Dissipation (P Q ) (Note 3) 600 mW S.O. Package only 500 mW Lead Temp. (TO (Sobering 10 seconds) 2?TC Min Max Units Supply Voltage (Vcc) 2 6 V DC Input or Outpu* Voltage 0 Vcc V (Vw. VOUT ) Operating Temp. Range (T A ) MM74HC -40 +85 ?c MM54HC -55 + 125 ?c Input Rise or Fall Times VOC-20V 1000 ns V QC -4.5V 500 ns Vcc-6.0V 400 ns Absolute Maximum Ratings (Notes 1&2) If Military/Aerospace specified devices are required, please contact the National Semiconductor Sales Office/Distributors for availability and specifications ?

HK20芯片使用手册(20091214)

深圳市大开实业发展有限公司 地址:深圳车公庙泰然工贸园210栋东座5C 电话:0755-******** 88839828 88839838 传真:0755-******** E-mail :sales-a@https://www.wendangku.net/doc/9416663789.html, 完 美 方 案 HK20来电显示芯片 ? 机械锁控制,无需二极管············降低成本 ? 选用12位LCD 时,LCD 选项脚悬空····帮线更少 ? 多种FLASH 时间选择,无需二极管····用料更省 ? 无振铃检测电路,超大铃声· ··········适合挑剔用户 ? 解决外线电阻开路问题· ·············适合更多使用环境 ? 支持PVC 碳膜键盘· ·················成本更低 ? 厂家常规使用无需元件选项功能· ·····成本再次降低 ? 超简化菜单························方便灵活应用 ? 两组IP 键·························适合更多用户需求 ? 新来电指示灯控制··················不漏接每一个来电 ? 自动收线功能······················更多智能人性化

HK20来电显示芯片 HK20来电显示芯片是我司继HK6508、HK108系列芯片以来,又一款电话机应用主导芯片。集多年经验与各生产厂家之共同需求,以电路精简、功能实用、应用灵活为宗旨,打造完美电话机应用方案。 本芯片支持PVC碳膜键盘,省掉了振铃检测外围电路,且外线检测电阻开路,仍可接收来电。 一:功能简介 z FSK、DTMF来电显示,自动识别 z两种LCD显示模式 1)12位小玻璃和万年历玻璃显示 2)16位小玻璃显示 ●动态来电号码记录: FSK 最大8位57组、12位44组、16位36组 DTMF最大8位65组、12位49组、16位39组 z去电号码及通话时间记录:8位16 组、12位9组、32位5组 z实时日期及星期显示 z24首铃声选择,1首贵宾铃声 z按键设置振铃音量及免提音量 z按键设置LCD亮度5级可调 z软件与硬件设置电子防盗与P/T z机械锁锁0或全锁(开放110,112,119,120,122,200,800) z键盘300、600、1000msFLASH和硬件600/300msFLASH可选 z110ms R键 z4组16位号码存储键 z2组32位IP号码存储键,其中任一组可设为自动IP z1组24小时闹钟设定 z内置音乐HOLD功能,并机提机自动解除 z自动追拨,拨通回铃 z10位计算器 z在忘记收线或挂机不好时,自动收线(线路无杂波干扰下) z新来电指示灯控制 z背景灯指示灯控制

×××芯片用户手册

L X Y28162用户手册 2008年07月 V1.0

目录 1芯片功能说明 (4) 1.1芯片主要功能特性 (5) 1.2芯片应用场合 (5) 1.3芯片基本结构描述 (5) 2芯片特性说明 (5) 2.1芯片的封装和引脚 (5) 2.2芯片最大极限值 (6) 2.3芯片电气特性(VDD=5) (7) 2.4开关特性(VDD=5V) (8) 2.5开关特性测试电路图 (9) 2.6芯片时序图 (10) 2.6.1 串口时序图 (10) 2.6.2 电流输出端口时序图............................................................... 错误!未定义书签。 2.6.3 控制命令时序图....................................................................... 错误!未定义书签。3芯片功能模块描述.................................................................................... 错误!未定义书签。 3.1设置像素灰度(Setting Gray Scales of Pixels) ............................ 错误!未定义书签。 3.2数据加载时时序图(Full Timing for Data Loading) ................... 错误!未定义书签。 3.3配置加载时时序图(Full Timing for Config Loading)................ 错误!未定义书签。 3.4开路检测原理(Open-Circuit Detection Principle) (10) 3.5短路检测原理(Short-Circuit Detection Principle) (10) 3.6奇偶校验位(Checking Parity Bit) ............................................... 错误!未定义书签。 3.7温度错误指示(Thermal Error Flag) (10) 3.8恒定电流输出(Constant Current) (10) 3.9设定输出电流(Setting Output Current) (11) 3.10级连输出的延迟(Delay Time of Staggered Output) (11) 3.11功耗(Package Power Dissipation ) (11) 3.12管脚散热(Usage of Thermal Pad) (11) 3.13过热保护(Thermal Protection Function ) (12) 3.14LED供电电压(LED Supply Voltage ) (12) 3.15开关噪声抑制(Switching Noise Reduction) (12) 3.16控制命令(Control Command)................................................. 错误!未定义书签。4芯片寄存器描述........................................................................................ 错误!未定义书签。 4.1寄存器定义(Definition of Configuration Register) .................... 错误!未定义书签。 4.2输入输出管脚等效电路(Equivalent Circuits of Inputs and Outputs) (13) 5芯片的封装 (13)

DM9000中文数据手册

dm9000 1、总体介绍 该DM9000是一款完全集成的和符合成本效益单芯片快速以太网MAC控制器与一般处理接口,一个10/100M自适应的PHY和4K DWORD值的SRAM 。它的目的是在低功耗和高性能进程的3.3V与5V的支持宽容。 DM9000还提供了介质无关的接口,来连接所有提供支持介质无关接口功能的家用电话线网络设备或其他收发器。该DM9000支持8位,16位和32 -位接口访问内部存储器,以支持不同的处理器。DM9000物理协议层接口完全支持使用10MBps 下3类、4类、5类非屏蔽双绞线和100MBps下5类非屏蔽双绞线。这是完全符合I EEE 802.3u规格。它的自动协调功能将自动完成配置以最大限度地适合其线路带宽。还支持IEEE 802.3x全双工流量控制。这个工作里面DM9000是非常简单的,所以用户可以容易的移植任何系统下的端口驱动程序。 2、特点 支持处理器读写内部存储器的数据操作命令以字节/ 字/ 双字的长度进行 集成10/100M自适应收发器 支持介质无关接口 支持背压模式半双工流量控制模式 IEEE802.3x流量控制的全双工模式 支持唤醒帧,链路状态改变和远程的唤醒 4K双字SRAM 支持自动加载EEPROM里面生产商ID和产品ID 支持4个通用输入输出口 超低功耗模式 功率降低模式 电源故障模式 可选择1:1 YL18-2050S,YT37-1107S 或5:4变压比例的变压器降低格外功率 兼容3.3v和5.0v输入输出电压 100脚CMOS LQFP封装工艺 3、引脚描述 I=输入O=输出I/O=输入/输出O/D=漏极开路P=电源LI=复位锁存输入#= 普遍低电位

CY7C1051DV33芯片手册

PRELIMINARY 8-Mbit (512K x 16) Static RAM CY7C1051DV33 Features ?High speed —t AA = 10 ns ?Low active power —I CC = 110 mA @ 10 ns ?Low CMOS standby power —I SB2 = 20 mA ?2.0V data retention ?Automatic power-down when deselected ?TTL-compatible inputs and outputs ?Easy memory expansion with CE and OE features ? Available in lead-free 48-ball FBGA and 44-pin TSOP II packages Functional Description [1] The CY7C1051DV33 is a high-performance CMOS Static RAM organized as 512K words by 16 bits. Write to the device by taking Chip Enable (CE) and Write Enable (WE) inputs LOW. If Byte LOW Enable (BLE) is LOW,then data from IO pins (IO 0–IO 7), is written into the location specified on the address pins (A 0–A 18). If Byte HIGH Enable (BHE) is LOW, then data from IO pins (IO 8–IO 15) is written into the location specified on the address pins (A 0–A 18). Read from the device by taking Chip Enable (CE) and Output Enable (OE) LOW while forcing the Write Enable (WE) HIGH.If Byte LOW Enable (BLE) is LOW, then data from the memory location specified by the address pins will appear on IO 0–IO 7.If Byte HIGH Enable (BHE) is LOW, then data from memory will appear on IO 8 to IO 15. See the “Truth Table” on page 8 for a complete description of Read and Write modes. The input/output pins (IO 0–IO 15) are placed in a high-impedance state when the device is deselected (CE HIGH), the outputs are disabled (OE HIGH), the BHE and BLE are disabled (BHE, BLE HIGH), or a Write operation (CE LOW,and WE LOW) is in progress. The CY7C1051DV33 is available in a 44-pin TSOP II package with center power and ground (revolutionary) pinout, as well as a 48-ball fine-pitch ball grid array (FBGA) package. Note 1.For guidelines on SRAM system design, please refer to the “System Design Guidelines” Cypress application note, available on the internet at https://www.wendangku.net/doc/9416663789.html, . 1415Logic Block Diagram A 1A 2A 3A 4A 5A 6A 7A 8 COLUMN DECODER R O W D E C O D E R S E N S E A M P S INPUT BUFFER 512K × 16ARRAY A 0A 11A 13A 12A A A 16A 17A 18 A 9A 10IO 0–IO 7OE IO 8–IO 15 CE WE BLE BHE

LM331中文资料_中文手册_芯片中文资料_芯片中文手册

电压-频率变换器LM331 LM331是美国NS公司生产的性能价格比较高的集成芯片。LM331可用作精密的频率电压(F/V)转换器、A/D转换器、线性频率调制解调、长时间积分器以及其他相关的器件。LM331为双列直插式8脚芯片,其引脚 如图3所示。 LM331内部有(1)输入比较电路、(2)定时比较电路、(3)R-S触发电路、(4)复零晶体管、(5)输出驱动管、(6)能隙基准电路、(7)精密电流源电路、(8)电流开关、(9)输出保护点路等部分。输出管采用集电极开路形式,因此可以通过选择逻辑电流和外接电阻,灵活改变输出脉冲的逻辑电平,从而适应TTL、DTL和CMOS 等不同的逻辑电路。此外,LM331可采用单/双电源供电,电压范围为4~40V,输出也高达40V。 引脚1(PIN1)为电流源输出端,在f0(PIN3)输出逻辑低电平时,电流源IR输出对电容CL充电。 引脚2(PIN2)为增益调整,改变RS的值可调节电路转换增益的大小。 引脚3(PIN3)为频率输出端,为逻辑低电平,脉冲宽度由Rt和Ct决定。 引脚4(PIN4)为电源地。 引脚5(PIN5)为定时比较器正相输入端。 引脚6(PIN6)为输入比较器反相输入端。 引脚7(PIN7)为输入比较器正相输入端。 引脚8(PIN8)为电源正端。 LM331频率电压转换器 V/F变换和F/V变换采用集成块LM331,LM331是美国NS公司生产的性能价格比较高的集成芯片,可用作精密频率电压转换器用。LM331采用了新的温度补偿能隙基准电路,在整个工作温度范围内和低到4.0V电源电压下都有极高的精度。同时它动态范围宽,可达100dB;线性度好,最大非线性失真小于0.01%,工作频率低到0.1Hz时尚有较好的线性;变换精度高,数字分辨率可达12位;外接电路简单,只需接入几个外部元件就可方便构成V/F或F/V等变换电路,并且容易保证转换精度。

dm9000中文芯片手册

DM9000介绍 1、总体介绍 该DM9000是一款完全集成的和符合成本效益单芯片快速以太网MAC控制器与一般处理接口,一个10/100M自适应的PHY和4K DWORD值的SRAM 。它的目的是在低功耗和高性能进程的3.3V与5V的支持宽容。 DM9000还提供了介质无关的接口,来连接所有提供支持介质无关接口功能的家用电话线网络设备或其他收发器。该DM9000支持8位,16位和32 -位接口访问内部存储器,以支持不同的处理器。DM9000物理协议层接口完全支持使用10MBps下3类、4类、5类非屏蔽双绞线和100MBps下5类非屏蔽双绞线。这是完全符合IEEE 8 02.3u规格。它的自动协调功能将自动完成配置以最大限度地适合其线路带宽。还支持IEEE 802.3x全双工流量控制。这个工作里面DM9000是非常简单的,所以用户可以容易的移植任何系统下的端口驱动程序。 2、特点 支持处理器读写内部存储器的数据操作命令以字节/ 字/ 双字的长度进行 集成10/100M自适应收发器 支持介质无关接口 支持背压模式半双工流量控制模式 IEEE802.3x流量控制的全双工模式 支持唤醒帧,链路状态改变和远程的唤醒 4K双字SRAM 支持自动加载EEPROM里面生产商ID和产品ID 支持4个通用输入输出口 超低功耗模式 功率降低模式 电源故障模式 可选择1:1或5:4变压比例的变压器降低格外功率 兼容3.3v和5.0v输入输出电压 100脚CMOS LQFP封装工艺 3、引脚描述 I=输入O=输出I/O=输入/输出O/D=漏极开路P=电源LI=复位锁存输入#=普遍低电位 介质无关接口引脚 引脚号引脚名I/O 功能描述 37 LINK_I I 外部介质无关接口器件连接

芯片达人教你如何看数据手册

芯片达人教你如何看数据手册 2013-11-30 15:21:38 分享: 标签:数据手册datasheet 【摘要】数据手册怎么看?先看芯片特性、应用场合、内部框图,有一个宏观的了解。重点关注芯片参数,同时参考手册给出的参数图。选定器件后,研究管脚定义、推荐的PCB layout。内部寄存器,时序图必须研究透彻。数据手册中的note,都必须仔细阅读,是把芯片用好的关键所在。 不管什么芯片手册,它再怎么写得天花乱坠,本质也只是芯片的使用说明书而已。而说明书一个最显著的特点就是必须尽可能地使用通俗易懂的语句,向使用者交代清楚该产品的特点、功能以及使用方法。无论什么芯片手册,都不会存在生僻的单词语法(专业词汇除外),运用在大学英文知识去分析这些手册足矣。(当然另外一种选择是看中文版数据手册,像搜ic 数据手册之类的专业datasheet翻译网站,语法不一定符合国人语言习惯,但术语还是基本正确的,见仁见智吧。) Datasheet为何难读?难点有三: 语言风格——跟平常我们所阅读的新闻、报导都不一样,好多数据手册在表达意思上的连贯性做得不好,没有太大联系的两句话就放在了一起,没办法,只得接受(莫非这也是中外思维的差异?) 长句太多——为保证严谨,不至于让读者产生误解,数据手册通常多用长句描述,并且长句所描述问题都比较关键。这很让人头疼,要连贯地理解这些长句,需要较好的记忆力。当然,俺们也有笨办法:按照古老的主谓宾状补结构,把整个长句拆开,对每一个小短句进行分析,最后联系上下文揣摩出整句意思。

专业词汇多,甚至有字典上都找不到的单词,——没办法,一得靠平时的积累,二得善于借助网络资源翻译,比如搜ic数据手册(https://www.wendangku.net/doc/9416663789.html,)就是个挺专业的网站。不过强调一下:我们没有必要把每一个单词的意思都完完全全地、准确无误地翻译出来,只要理解它所表达的意思就足够了,就说是只需意会,不必言传倒也合适。 以AD9945为例,我们可以这么去读芯片数据手册: 1、先看看芯片的特性(Features)、应用场合(Applications)以及内部框图。这有助于我们对芯片有一个宏观的了解,此时需要弄清楚该芯片的一些比较特殊的功能,充分利用芯片的特殊功能,对整体电路的设计,将会有极大的好处。比如AD9945可以实现相关双采样(CDS),这可以简化后续信号调理电路,并且抵抗噪声的效果还好。 2、重点关注芯片的参数,同时可以参考手册给出的一些参数图(如AD9945的TPC 1,TPC2等),这是是否采用该芯片的重要依据。像AD9945,就可以关注采样率(maximum clock rate)、数据位数(AD converter)、功耗(power consumption)、可调增益范围(gain range)等。 3、选定器件后,研究芯片管脚定义、推荐的PCB layout,这些都是在硬件设计过程中必须掌握的。所有管脚中,要特别留意控制信号引脚或者特殊信号引脚,这是将来用好该芯片的前提。比如AD9945的SHP、SHD、PBLK、CLPOB等。 4、认真研读芯片内部寄存器,对寄存器的理解程度,直接决定了你对芯片的掌握程度。比如AD9945就有4个寄存器:Operation、Control、Clamp Level和VGA gain,对于这些寄存器,必须清楚它们上电后的初始值、所能实现的功能、每个bit所代表的含义这些基本情况。

EMP570中文芯片手册

翻译 源语言:英语 目标语言:中文(简体) 英语中文德语检测语言 中文(简体)英语日语 ■第1章介绍 ■第2章,MAX II架构 ■第3章,JTAG和在系统可编程 ■第4章,热插拔和上电复位MAX II器件 ■第5章,DC和开关特性 ■第6章,参考和订购信息 修订历史 请参阅每章自己特定的修订历史。有关何时 每个章节进行了更新,参阅章修订日期部分,这似乎 在完全手册。 I-2第I:MAX II器件系列数据表 ?2008年10月的Altera公司的MAX II器件手册 1。介绍 介绍 瞬时上电,非易失性CPLD的MAX?II系列是基于0.18微米,6 layermetal 闪存,密度从240至2,210个逻辑单元(LE)(128至2,210 相当于宏小区)和8千位的非易失性存储。MAX II器件提供高 I / O数量,快速的性能,可靠的配件与其他CPLD架构。 MultiV olt核心,用户闪存(UFM)块,并增强系统 可编程(ISP),MAX II器件的设计,以降低operating revenue,和功耗,同时提供可编程解决方案的应用,如总线桥接,I / O 扩展,上电复位(POR)和顺序控制和设备配置 控制。 特点 MAX II CPLD具有以下特点: ■低成本,低功耗CPLD ■瞬时上电,非易失性建筑 ■待机电流低至29μA ■提供快速传播延迟和时钟输出时间 修订历史 MAX II器件手册?2008年10月的Altera公司 ■UFM阻止8千位的非易失性存储 ■MultiVolt核心,使外部的电源电压为3.3 V/2.5 V的装置的 或1.8 V ■MultiVolt I / O接口,支持3.3-V ,2.5-V ,1.8-V ,1.5-V的逻辑电平 ■总线型结构,其中包括可编程摆率,驱动强度,bushold,

×××芯片用户手册

×××芯片用户手册2008年07月 V1.0

目录 1 芯片功能讲明6 1.1 芯片要紧功能特性6 1.2 芯片应用场合6 1.3 芯片差不多结构描述7 2 芯片特性讲明7 2.1 芯片的封装和引脚7 2.2 芯片最大极限值7 2.3 芯片电气特性(VDD=5)8 2.4 开关特性(VDD=5V)9 2.5 开关特性测试电路图10 2.6 芯片时序图 10 2.6.1 串口时序图 10 2.6.2 电流输出端口时序图错误!未定义书签。 2.6.3 操纵命令时序图错误!未定义书签。 3 芯片功能模块描述错误!未定义书签。 3.1 设置像素灰度(Setting Gray Scales of Pixels)错误!未定义书签。 3.2 数据加载时时序图(Full Timing for Data Loading)错误!未定义书签。 3.3 配置加载时时序图(Full Timing for Config Loading)错误!未定义书签。 3.4 开路检测原理(Open-Circuit Detection Principle)10 3.5 短路检测原理(Short-Circuit Detection Principle)10 3.6 奇偶校验位(Checking Parity Bit)错误!未定义书签。 3.7 温度错误指示(Thermal Error Flag)10 3.8 恒定电流输出(Constant Current)10 3.9 设定输出电流(Setting Output Current)11 3.10 级连输出的延迟(Delay Time of Staggered Output)11 3.11 功耗(Package Power Dissipation ) 11

英飞凌 tricore TC297 用户手册 中文版

英飞凌tricore TC297 用户手册中文版简介 1.简介 本用户手册描述了TC1728,一种基于英飞凌TriCore架构的新型32位微控制器DSP。该文档涵盖了不同封装的TC1728和TC1724的特性。 1.1关于本手册 本用户手册的主要读者定位为设计工程师和软件工程师。手册对TC1728的功能单元、相关寄存器、相关指令及异常情况处理进行了详细描述。 TC1728微控制器用户手册所描述的TC1728特性和TriCore架构紧密相关。若TC1728直接实现了TriCore架构功能,手册中将其简称为TC1728特性。手册在描述TC1728特性时若不提及TriCore架构,即表明TC1728直接实现了TriCore架构功能;若TC1728实现的特性是TriCore架构特性的子集,手册会在说明TC1728具体实现的同时指出它与TriCore 架构的差别。这些差别会在相关章节中予以说明。 1.1.1相关文档 TriCore架构的详尽描述可参见文档“TriCore架构手册”。由于TriCore具有可配置性,不同版本的架构包括的系统组成可能因此不同,因此有必要对TC1728架构进行单独说明。 本用户手册和“TriCore架构手册”一起有助于用户完全理解TC1728微控制器的功能。 1.1.2 … … …命名规则本手册使用下面的规则来命名TC1728的组成单元:TC1728的功能单元用大写表示。例如:“SSC支持全双工和半双工同步通信”。低电平有效的引脚,符号上方加横杠表示。例如:“,具有双重功能”。寄存器中的位域和位通常表示为“模块_寄存器名称?位域”或“模块_寄存器名称?位”。例如大多数寄存器名包括模块名前缀,用下划线“_”和真正的寄存器名分开(例如“ASCO_CON”中“ASCO”是模块名前缀,“CON”是内核寄存器名)。在描述外设模块的内核时,通常引用内核寄存器名;在描述外设模块的实现时,通常引用外带有模块前缀的寄存器名。 用户手册 简介,V1.01-1V1.0,2011-12 简介

74ls595芯片中文资料

74595外形图 ________ QB--|1 16|--Vcc QC--|2 15|--QA QD--|3 14|--SI QE--|4 13|--/G QF--|5 12|--RCK QG--|6 11|--SCK QH--|7 10|--/SCLR GND-|8 9|--QH' |________| 74595的数据端: QA--QH: 八位并行输出端,可以直接控制数码管的8个段。 QH': 级联输出端。我将它接下一个595的SI端。 SI: 串行数据输入端。 74595的控制端说明: /SCLR(10脚): 低点平时将移位寄存器的数据清零。通常我将它接Vcc。 SCK(11脚):上升沿时数据寄存器的数据移位。QA-->QB-->QC-->...-->QH;下降沿移位寄存器数据不变。(脉冲宽度:5V时,大于几十纳秒就行了。我通常都选微秒级) RCK(12脚):上升沿时移位寄存器的数据进入数据存储寄存器,下降沿时存储寄存器数据不变。通常我将RCK置为低点平,当移位结束后,在RCK端产生一个正脉冲(5V时,大于几十纳秒就行了。我通常都选微秒级),更新显示数据。 /G(13脚): 高电平时禁止输出(高阻态)。如果单片机的引脚不紧张,用一个引脚控制它,可以方便地产生闪烁和熄灭效果。比通过数据端移位控制要省时省力。 注:74164和74595功能相仿,都是8位串行输入转并行输出移位寄存器。74164的驱动电流(25mA)比74595(35mA)的要小,14脚封装,体积也小一些。 74595的主要优点是具有数据存储寄存器,在移位的过程中,输出端的数据可以保持不变。这在串行速度慢的场合很有用处,数码管没有闪烁感。 与164只有数据清零端相比,595还多有输出端时能/禁止控制端,可以使输出为高阻态。 另外,据网上报价,贴片164每只1元钱,贴片595 0.8元/只。

相关文档
相关文档 最新文档