文档库 最新最全的文档下载
当前位置:文档库 › can总线报告资料

can总线报告资料

can总线报告资料
can总线报告资料

得分评卷教师

哈尔滨远东理工学院

CAN总线在中央空调监控系统中的应用

姓名:郭爱强

分院:机器人科学与技术学院

专业:电子信息工程

学号:13030104

摘要

中央空调控制系统是智能建筑中不可缺少的组成部分。传统的控制方法是采用DDC(直接数字控制器)方式,将各个温度、湿度检测点和控制点连接到一台或多台DDC上,实行多点实时监控。由于现代智能建筑楼层较多,多个空调风机位于不同楼层,温、湿度检测点分布于各个房间,采用DDC方式进行控制具有引线过长、施工不便、系统通信的实时性和可靠性不高等缺点,而面向工业控制的现场总线技术是目前解决工业控制现场数据实时通信问题的最佳方案。

本文在研究国内中央空调监控系统的发展现状与特点的基础上,设计了基于CAN总线的中央空调监控系统。整个中央空调监控系统以基于PCI总线的CAN通信卡作为总线数据采集器,采用接口芯片PCI9052实现CAN通信卡同PC机之间的通信,外置CAN芯片SJA1000实现CAN总线的物理层和数据链路层功能。通过对CAN通信卡的驱动程序设计,实现对CAN总线上节点的监视和控制。

本文详细分析了CAN总线和PCI总线的技术特点及通信机理,研究了独立CAN控制SJA1000和CAN总线驱动器的工作原理,完成了通信卡的硬件设计及驱动程序设计。

关键词中央空调监控系统;CAN总线;PCI总线;PCI9052

目录

摘要 (3)

第1章本文内容 (1)

第2章 CAN总线技术研究 (5)

2.1 CAN 总线特点 (5)

22 CAN总线技术介绍 (5)

2.2.2 CAN与其他通信方案的比较 (6)

2.2.3 CAN的报文格式 (6)

2.3 数据错误检测 (7)

第3章中央空调控制系统设计 (7)

3.1 系统的主要功能 (7)

3.2 中央空调控制整体结构 (8)

3.3 硬件设计 (9)

3.3.1 信号采集电路设计 (10)

3.3.2 CAN 通信电路设计 (13)

3.3.3 电路硬件抗干扰 (15)

3.4 软件设计 (15)

3.4.1 主程序设计 (15)

3.4.2 A/D转换程序设计 (16)

结论...................................................... X VIII 参考文献................................................... X IX

1.本文内容

本文对现在中央空调控制系统的总体结构做出分析,对CAN总线技术进行了详细介绍,其中包括CAN总线的产生和发展、CAN总线特点、CAN总线技术介绍以及数据错误检测等。而且还对系统的软硬件进行设计,硬件包括对信号采集电路设计、CAN通信电路设计、电路抗干扰设计,软件包括对主程序设计和AD转换程序设计。

2 CAN总线技术研究

2.1 CAN 总线特点

CAN总线是德国BOSCH公司从80年代初为解决现代汽车中众多的控制与测试仪器之间的数据交换而开发的一种串行数据通信协议,它是一种多主总线,通信介质可以是双绞线、同轴电缆或光导纤维。通信速率可达1MBPS。CAN总线通信接口中集成了CAN协议的物理层和数据链路层功能,可完成对通信数据的成帧处理,包括位填充、数据块编码、循环冗余检验、优先级判别等项工作。

CAN协议的一个最大特点是废除了传统的站地址编码,而代之以对通信数据块进行编码。采用这种方法的优点可使网络内的节点个数在理论上不受限制,数据块的标识码可由11位或29位二进制数组成,因此可以定义211或229个不同的数据块,这种按数据块编码的方式,还可使不同的节点同时接收到相同的数据,这一点在分布式控制系统中非常有用。数据段长度最多为8个字节,可满足通常工业领域中控制命令、工作状态及测试数据的一般要求。同时,8个字节不会占用总线时间过长,从而保证了通信的实时性。CAN协议采用CRC检验并可提供相应的错误处理功能,保证了数据通信的可靠性。CAN卓越的特性、极高的可靠性和独特的设计,特别适合工业过程监控设备的互连,因此,越来越受到工业界的重视,并已公认为最有前途的现场总线之一。

另外,CAN总线采用了多主竞争式总线结构,具有多主站运行和分散仲裁的串行总线以及广播通信的特点。CAN总线上任意节点可在任意时刻主动地向网络上其它节点发送信息而不分主次,因此可在各节点之间实现自由通信。CAN总线协议已被国际标准化组织认证,技术比较成熟,控制的芯片已经商品化,性价比高,特别适用于分布式测控系统之间的数通讯。CAN总线插卡可以任意插在PC AT XT兼容机上,方便地构成分布式监控系统[5]。

2.2 CAN总线技术介绍

2.2.1位仲裁

要对数据进行实时处理,就必须将数据快速传送,这就要求数据的物理传输通路有较高的速度。在几个站同时需要发送数据时,要求快速地进行总线分配。实时处理通过网络交换的紧急数据有较大的不同。一个快速变化的物理量,如汽车引擎负载,将比类似汽车引擎温度这样相对变化较慢的物理量更频繁地传送数据并要求更短的延时。

CAN总线以报文为单位进行数据传送,报文的优先级结合在11位标识符中,具有最低二进制数的标识符有最高的优先级。这种优先级一旦在系统设计时被确立后就不能再被更改。总线读取中的冲突可通过位仲裁解决。当几个站同时发送报文时,站1的报文标识符为011111;站2的报文标识符为0100110;站3的报文标识符为0100111。所有标识符都有相同的两位01,直到第3位进行比较时,站1的报文被丢掉,因为它的第3位为高,而其它两个站的报文第3位为低。站2和站3报文的4、5、6位相同,直到第7位时,站3的报文才被丢失。注意,总线中的信号持续跟踪最后获得总线读取权的站的报文。在此例中,站2的报文被跟踪。这种非破坏性位仲裁方法的优点在于,在网络最终确定哪一个站的报文被传送以前,报文的起始部分已经在网络上传送了。所有未获得总线读取权的站都成为具有最高优先权报文的接收站,并且不会在总线再次空闲前发送报文。

CAN具有较高的效率是因为总线仅仅被那些请求总线悬而未决的站利用,这些请求是根据报文在整个系统中的重要性按顺序处理的。这种方法在网络负载较重时有很多优点,因为总线读取的优先级已被按顺序放在每个报文中了,这可以保证在实时系统中较低的个体隐伏时间。

对于主站的可靠性,由于CAN协议执行非集中化总线控制,所有主要通信,包括总线读取(许可)控制,在系统中分几次完成。这是实现有较高可靠性的通信系统的唯一方法。

2.2.2 CAN与其他通信方案的比较

实践中,有两种重要的总线分配方法:按时间表分配和按需要分配。在第一种方法中,不管每个节点是否申请总线,都对每个节点按最大期间分配。由此,总线可被分配给每个站并且是唯一的站,而不论其是立即进行总线存取或在特定时间进行总线存取。这将保证在总线存取时有明确的总线分配。在第二种方法中,总线按传送数据的基本要求分配给一个站,总线系统按站所希望的传送分配(如:Ethernet CSMA/CD)。因此,当多个站同时请求总线存取时,总线将终止所有站的请求,这时将不会有任何一个站获得总线分配。为了分配总线,多于一个总线存取是必要的。

CAN实现总线分配的方法,可保证当不同的站申请总线存取时,明确地进行总线分配。这种位仲裁的方法可以解决当两个站同时发送数据时产生的碰撞问题。不同于Ethernet网络的消息仲裁,CAN的非破坏性解决总线存取冲突的方法,确保在不传送有用消息时总线不被占用。甚至当总线在重负载情况下,以消息内容为优先的总线存取也被证明是一种有效的系统。虽然总线的传输能力不足,所有未解决的传输请求都按重要性顺序来处理。在CSMA/CD这样的网络中,如Ethernet,系统往往由于过载而崩溃,而这种情况在CAN中不会发生。

2.2.3 CAN的报文格式

在总线中传送的报文,每帧由7部分组成。CAN协议支持两种报文格式,其唯一的不同是标识符(ID)长度不同,标准格式为11位,扩展格式为29位。

在标准格式中,报文的起始位称为帧起始(SOF),然后是由11位标识符和远程发送请求位(RTR)组成的仲裁场。RTR位标明是数据帧还是请求帧,在请求帧中没有数据字节。

控制场包括标识符扩展位(IDE),指出是标准格式还是扩展格式。它还包括一个保留位(ro),为将来扩展使用。它的最后四个字节用来指明数据场中数据的长度(DLC)。数据场范围为0~8个字节,其后有一个检测数据错误的循环冗余检查(CRC)。

应答场(ACK)包括应答位和应答分隔符。发送站发送的这两位均为隐性电平(逻辑1),这时正确接收报文的接收站发送主控电平(逻辑0)覆盖它。用这种方法,发送站可以保证网络中至少有一个站能正确接收到报文。

报文的尾部由帧结束标出。在相邻的两条报文间有一很短的间隔位,如果这时没有站进行总线存取,总线将处于空闲状态[6]。

2.3 数据错误检测

不同于其它总线,CAN协议不能使用应答信息。事实上,它可以将发生的任何错误用信号发出。CAN协议可使用五种检查错误的方法,其中前三种为基于报文内容检查。

1. 循环冗余检查(CRC)

在一帧报文中加入冗余检查位可保证报文正确。接收站通过CRC可判断报文是否有错。

2. 帧检查

这种方法通过位场检查帧的格式和大小来确定报文的正确性,用于检查格式上的错误。

3. 应答错误

如前所述,被接收到的帧由接收站通过明确的应答来确认。如果发送站未收到应答,那么表明接收站发现帧中有错误,也就是说,ACK场已损坏或网络中的报文无站接收。CAN协议也可通过位检查的方法探测错误。

3 中央空调控制系统设计

3.1 系统的主要功能

在现代化的大型建筑中,一般都采用中央空调系统。众所周知,空调系统的作用就是对室内空气进行处理,使空气的温度、流动速度及新鲜度、洁净度等指标符合场所的使用要求。为此必须对空气进行冷却或加热、降温或加湿,以及过滤等处理措施。其相应设备有制冷机组、热水炉、风机盘管系统、风管系统、水管系统等。例如,空调系统中,冷水机组是由设备生产厂成套供应的,它一般是根据空气调节原理及规律等由微处理器自动控制的。冷水机组由压缩机、冷凝器与蒸发器组成,压缩机把制冷剂压缩,压缩后的制冷剂进入冷凝器,被冷却水冷却后,变成液体,析出的热量由冷却水带走,并在冷却塔里排入大气。液体制冷剂由冷凝器进入蒸发器进行蒸发吸收,使冷冻水降温,然后冷冻水进入水冷风机盘管吸收空气中的热量,如此循环不已,把房间的热量带出。因此,中央空调系统的监控设计,可从以下三个方面考虑:

1.机组基本参数的测量、设备的启停控制;

2.基本的能量调节;

3.冷热源及水管系统的全面调节与控制。

所以设计中央空调监控系统以具备CAN总线通信功能的PC机为主站,以具备独立故障诊断和控制功能的冷冻机、冷冻水控制系统,冷却水控制系统,补水控制系统等子控制系统为从站的中央空调监控系统结构。用CAN总线作为主从站之间的通信媒介。

3.2 中央空调控制整体结构

中央空调控制系统的总体框图如图3-1所示。图中,上位机采用IBM-PC兼容机,负责系统数据的接收与管理、控制命令的发送、系统工作过程的实时显示等。各单元控制器作为下位机,采用ATMEL公司生产的AT89C51单片机作为微处理器,负责本单元内空调风机机组的现场数据检测以及工作状态的控制等。单元控制器内的CAN总线控制器SJA1000负责接收来自CAN总线的数据以及通过CAN总线向上位机发送数据。上位机通过插在PC总线扩展槽内的智能CAN总线通信适配卡连接CAN总线,并通过CAN总线与各单元控制器相连接。单元控制器也可以脱离上位机,直接进行现场手动控制[8]。

图3-1中央空调系统的总体框图

系统的工作原理为:各单元控制器对本单元的各检测点进行巡回检测,将检测数据按照CAN总线协议标准发送给上位机;上位机通过智能CAN总线通信适配卡接收各单元控制器上传的数据,根据操作者的指令或系统软件预先设定的控制程序向各单元控制器发送控制命令,由单元控制器对各空调风机机组进行实时控制。若脱离上位机,单元控制器将根据软件设定的控制参数直接对空调风机机组进行自动控制。操作者可通过单元控制器上的小键盘对控制参数进行现场修改[9]。

中央空调系统主要由制冷机、冷却水循环系统、冷冻水循环系统、风机盘管系统和冷却塔组成。各部分的作用及工作原理如下:

制冷机通过压缩机将制冷剂压缩成液态后送蒸发器中与冷冻水进行热交换,将冷冻水制冷,冷冻泵将冷冻水送到各风机风口的冷却盘管中,由风机吹送达到降温的目的。经蒸发后的制冷剂在冷凝器中释放出热量成气态,冷却泵将冷却水送到冷却塔上由水塔风机对其进行喷淋冷却,与大气之间进行热交换,将热量散发到大气中去。

中央空调系统部分组成:

冷冻水循环系统

该部分由冷冻泵、室内风机及冷冻水管道等组成。从主机蒸发器流出的低温冷冻水由冷冻泵加压送入冷冻水管道(出水),进入室内进行热交换,带走房间内的热量,最后回到主机蒸发器(回水)。室内风机用于将空气吹过冷冻水管道,降低空气温度,加速室内热交换。

冷却水循环部分

该部分由冷却泵、冷却水管道、冷却水塔及冷凝器等组成。冷冻水循环系统进行室内热交换的同时,必将带走室内大量的热能。该热能通过主机内的冷媒传递给冷却水,使冷

却水温度升高。冷却泵将升温后的冷却水压入冷却水塔(出水),使之与大气进行热交换,降低温度后再送回主机冷凝器(回水)。

主机

主机部分由压缩机、蒸发器、冷凝器及冷媒(制冷剂)等组成,其工作循环过程如下:首先低压气态冷媒被压缩机加压进入冷凝器并逐渐冷凝成高压液体。在冷凝过程中冷媒会释放出大量热能,这部分热能被冷凝器中的冷却水吸收并送到室外的冷却塔上,最终释放到大气中去。随后冷凝器中的高压液态冷媒在流经蒸发器前的节流降压装置时,因为压力的突变而气化,形成气液混合物进入蒸发器。冷媒在蒸发器中不断气化,同时会吸收冷冻水中的热量使其达到较低温度。最后,蒸发器中气化后的冷媒又变成了低压气体,重新进入了压缩机,如此循环往复。

3.3 硬件设计

系统硬件主要包括智能CAN总线通信适配卡和单元控制器。图3-2为智能CAN总线通信适配卡的原理框图。它提供了上位微机和CAN总线的接口,采用高性能的嵌入式微处理器80C188、CAN总线控制器82C200和CAN总线收发器82C250负责数据交换和通信处理。82C200是PHILIPS公司的产品,可完成物理层和数据链路层的所有功能。电子控制单元(ECU)的应用层由微处理器提供,82C200为其提供一个多用途的接口。双口RAM IDT7230作为PC机与CAN总线控制器的数据共享区,可提供两种相互独立的端口,每个端口均有各自的地址线、数据线和控制线,并且具有两套相互独立的中断逻辑来实现两个CPU之间的握手控制信号。通过软硬件设置将双口RAM映射成PC机的物理内存,使收发数据相当于直接向内存读写数据,从而提高了数据交换速率,并保证两个CPU同时对同一内存单元进行读写操作时数据的正确性。

此外,智能CAN总线通信适配卡还具有中断选择、主存基地址选择、LED指示系统状态及CAN收发状态等功能。

系统工作时,上位机将控制命令经ISA总线发送至智能CAN总线通信适配卡,经驱动电路写入双口RAM,然后发出中断信号。CAN通信控制器82C200收到中断信号后,从双口RAM中取出数据,并以CAN总线协议标准,经输出驱动电路、光电隔离电路以及CAN总线收发器82C250发送至CAN总线,完成从上位机到单元控制器的数据交换。上位机接收数据的过程与发送命令的过程相似,但方向相反。

图3-3为单元控制器电路的原理框图。图中,微处理器由ATMEL公司生产的AT89C51单片机,CAN总线控制器选用SJA1000,CAN总线收发器仍选用82C250,控制CAN总线的数据交换。工作时,CAN总线控制器SJA1000从CAN总线接收上位机发出的命令和数据,以中断方式通知CPU89C51。CPU收到中断信号后,将SJA1000接收到的数据存入RAM中,并根据数据对I/O接口电路发出相应的命令,控制风机机组、加湿器等执行部件进行相应操作。若上位机需要各单元状态信息,则CPU启动数据采集程序,控制I/O接口电路对各个检测点的数据进行巡回检。

PC机ISA总线

总线驱动

电路

译码

电路

CAN总线

控制机

双口RAM微处理器

CAN总线

接收器

EPROM

输出驱动及光

电隔离电路

CAN总线

图3-2智能CAN总线通信适配卡原理框图

CAN总线

控制器

输出驱动电

路及光电隔

离电路

CAN总线

收发器

译码

电路

微处理器

看门狗电路

小键盘及数字

显示器电路

I/O接口

电路

数据采集电路

输出驱动

电路

CAN总线

图3-3单元控制器电路原理框图

硬件看门狗电路X25045用于系统监控,防止程序跑飞,并提供512字节EEPROM来保护重要的系统控制参数,提高了单元控制器的抗干扰能力。

数字显示器可以显示风机的互回风湿度、送风温度、回风湿度、变频器频率、风门开度、水阀开度、报警信号的类型及数量等系统状态信息。小键盘用于修改设置参数、改变显示类型,从而使单元控制器在脱离上位机的情况下仍然可以完成控制功能[10]。

3.3.1 信号采集电路设计

信号采集节点主要负责采集循环水系统的信息,包括温度、压力值,通过总线上传给控制计算机,同时接收控制计算机的信息控制工频机的启停并将工频机启停状态上传给控制计算机。

节点的主控制芯片采用美国Atmel公司的AT89C51单片机,AT89C51是一种低功耗、高性能的片内含有4KB快闪可编程/擦除只读存储器的8位CMOS微控制器,使用高密度、非易失存储技术制造。它主要有如下特性:

·面向控制的8位CPU;

·128B的片内数据存储器;

·可以寻址64KB的片外程序存储器;

·可以寻址64KB的片外数据存储器;

·32根双向和可单独寻址的I/O线;

·一个全双工的异步串口;

·两个16位定时/计数器;

·5个中断源,两个中断优先级;

·有片内时钟振荡器;

·采用高性能的HMOS生产工艺生产;.

·有布尔处理(位操作)能力

·含全有基本指令111条,其中单机器周期指令64种[11]。

1.信号调理电路

一个中央空调机组需要采集的信号如下:

1.冷冻/热水进出水温度,2路。

2.冷却出水温度,1路。

3.冷冻/热水进水压力,1路。

4.冷却进水压力,1路。

共有5路。但在实际工程中,中央空调用户一般安装两个机组,一用一备。一台机组出现故障,开启另一台机组,然后维修故障机组,避免了因为机组故障而影响空调的使用。同时实际应用中,一般是两台机组轮流使用,防止一台机组长期使用导致使用寿命减小。因此,在设计信号采集电路时,采集信号的路数应按两个中央空调机组设计。

两个机组使用的是同一套水管道,但是温度传感器必须安装在水管离机组最近的地方,以防止水管各处温度不一样而导致温度测量误差过大。因此需要增加2路冷冻/热水进出水温度,1路冷却进出水温度。水管道各处压力一致,所以压力采集不需要增加。因此共需要采集8路信号。考虑到余量,本文设计了15路信号采集电路。

传感器有压力传感器和温度传感器,均为两线制,供电电压24V,输出4-20mA。其中温度传感器需要定做,不同的安装管道管径需要不同长度的传感器。同时不同的管道壁厚需要传感器的安装螺纹长度也不同。一般温度传感器的量程为0-100摄氏度。压力传感器的量程为0-1MPa。

温度和压力信号调理电路如图,T0为信号的输入端,R60为采样电阻,C60为滤波电容,规格是220UF/25V。第一个运放构成射随,降低输出阻抗,起到隔离的作用,避免负载对前级的影响。接着信号经过一个RC滤波。第二个运放构成反向比例,主要是调节信号的放大倍数,最后信号经过一个反向。这样采集到的信号就可以进行A/D转换。

图3-4 信号调理电路

2.A/D转换电路

A/D转换考虑到系统可能需要不同的模数转换精度,所以采用了两个转换芯片,一个采用12位串行模数转换器TLC2543,11路模拟输入。另一个为TLC0834。

TLC0834为8位逐次逼近的4通道A/D转换芯片,该芯片工作电压为5V,串行控制,具有输入可配置的多通道多路器和串行输入输出方式。其多路器可由软件配置为单端或差分输入,输入基准电压可以调整,转换时间为32ps。TLC0834与单片机的硬件接口电路中,单片机的Pl.6接TLC0834的片选信号,Pl.4用于产生A/D转换的时钟,Pl.5为一个双向I/O口位,可用于对模拟输入进行配置及接收输出转换所得的数据。

TLC2543是12位串行11通道A/D转换芯片,使用开关电容逐次逼近技术完成转换过程。工作电压为5V。在工作温度范围内转换时间为10ps。在与单片机的硬件接口电路中,单片机的P1.0接TL2543的片选信号,P1.1用于产生A/D转换的时钟,Pl.2输出控制字到TLC2543芯片的DATE INPUT端用于控制芯片要转换的模拟量通道、转换后的输出数据长度以及输出数据的格式,P1.3接转换芯片的数据输出端。具体电路如图3-5所示。其中R93和稳压管V3组成的电路给两个转换芯片提供SV的基准电压。

图3-5 A/D转换电路

图3-6 工频机控制图

为了实现整体系统的网络控制,达到水泵房无人职守的目的,信号采集节点应该控制工频机的启停。实际应用中,一般异步机的启动电流为额定电流的2—3倍,为了避免这种情况的出现,大部分在异步机的三相进线中串接软启动器,实现电机的软启动,防止启动过电流出现,当启动过程结束后,启动器自动将接通旁路接触器,将软启动器短接。所以我们可以通过控制软启动器从而控制工频机的启停。具体的实现方式是控制接入软启动器控制端的交流220V的通断。具体电路如图3-6:电路中,P21为单片机的P2.1口,用于控制软启动器的启停。I/O1和I/O11接交流220V,同时接继电器的常开触点。当P21为高时,MC1413的输出即OUT端接地,继电器得电,常开触点闭合,交流220V接通,软启动器控制端得电,工频电机启动。同理可得到工频电机的停止过程。选用12V的继电器。查得继电器的线圈阻抗为600Ω。,控制电压为12V,则12/600=15/(600+R114),可得到Rll4的阻值为150Ω。

当网络系统正常工作时,工频机的启停受计算机的控制,但是考虑到可靠性的原因,如果网络系统发生故障,则工频机将不受控制计算机控制,影响到整个水系统的运行,可能导致中央空调机组停机,为了防止这种情况发生,在设计工频机控制电路时,加上了自动/手动选路开关,当开关位于自动时,工频机受控制计算机控制,当开关位于手动时,工频机由值班员直接操作。

3.3.2 CAN 通信电路设计

CAN通讯部分主要是由四部分组成:微控制器89C51、独立CAN通信控制器SJA1000、CAN总线驱动器82C250和高速光电藕合器6N137。具体电路见图3-7。

下面对所选用的芯片做简单介绍。

1. CAN总线控制器SJA1000

SJA1000是一种独立的CAN 控制器,主要用于移动目标和一般工业环境中的区域网络控制。支持CAN2.0A 以和CAN2.0B 协议。主要由接口管理逻辑(IML)、信息缓冲器(含发送缓冲器TXB 和接收缓冲器RXFIF0)、位流处理器(BSP)、验收滤波器(ACF)、位时序处理逻辑(BTL)、错误管理逻辑(EML)、内部振荡器及复位电路等构成。IML 接收来自CPU 的命令,控制CAN 寄存器的寻址并向控制提供中断信息及状态信息。CPU 的控制经IML 把要发送的数据写入TXB ,TXB 中的数据由BSP 处理后经BTL 输出到 CAN BUS 。BTL 始终监视CAN BUS ,当检测到有效的信息头“隐性电平控制电平的转换时启动接收过程,接收的信息首先要由位流处理器BSP 处理,并由ACF 过滤,只有当接收的信息的识别码与ACF 检验相符时,接收信息才最终被写入RXB 或RXFIF0中。RXFIF0最多可以缓存64字节的数据,该数据可被CPU 读取。EML 负责传递层中调制器的错误管制,它接收BSP 的出错报告,促使BSP 和IML 进行错误统计。

123

4

4

3

21D

C

B

A

AD61AD72ALE/AS 3CS 4RD/E 5WR 6CLKOUT 7VSS18XTAL19XTAL210MODE 11VDD312TX013TX114

VSS3

15

INT

16RST 17VDD218RX019RX120VSS221VDD122AD023AD124AD225AD326AD427AD5

28

U1

SJA1000

R110K

+5V C3

0.1u F S1SW-PB RESET R E S E T

+5V D0D1D2D3D4D5D6D7P101P112P123P134P145P156P167P178RST

9P30/RXD 10P31/TXD 11P32/INT012P33/INT113P34/T014P35/T115P36/WR 16P37/RD 17XTAL218XTAL119GND 20

P20

21

P2122P2223P2324P2425P2526P2627P2728PSEN 29ALE 30EA 31P0039P0138P0237P0336P0435P0534P0633P0732VCC 40U2

89C51

RESET

+5V

+5V D0D1D2D3D4D5D6D7

ALE

INT0

INT0

WR RD RD WR

Y1

12MHZ

C130p F

C230p F

X T A L 1

X T A L 2

XTAL1

XTAL2XTAL1XTAL2+5V +5V CS CS

NC 1IN 2VDD 3NC 4

GND

5

OUT 6EN 7VCC 8U3

6N137

NC 1IN 2VDD 3NC 4

GND

5OUT 6EN 7VCC 8U46N137

+5V

+5V

R25K

R35K

R45K

R55K

TX0TX0

RX0

RX0+5V

RX1

RX1`

+5V

TXD 1RXD 2VDD 3R

4

CL

5

CH 6GND 7VCC 8U582C250

+5V CL

CH RX0

图3-7 CAN 通信原理图

CAN 控制器工作模式的设定、数据的发送和接收等都是通过BASIC CAN 寄存器来实

现的。时钟分频寄存器OCR 用于设定SJA1000工作于 BASIC CAN 还是PeliCAN ,还用于CLKOUT 引脚输出时钟频率的设定,在上电初始化控制器时必须首先设定:在工作模式下,控制寄存器CR 用于控制CAN 控制器的行为,可读可写;命令寄存器CMR 只读写;状态寄存器SR 只能读;而IR 、ACR 、AMR 、BTR0、BTR1、OCR 在工作模式下读写无意义。通常,在系统初始化时,先使CR.0=1,SJA1000进入复位模式。在此模式下IR 、ACR 、AMR 、BTR0、BTR1及OCR 均可读可写,此时设置相应的初值。当退出复位模式。

3.3.3 电路硬件抗干扰

和其它控制现场相比,中央空调水泵房内部环境温度变化大,电磁干扰和其它电子噪声强,网络的运行可靠性尤为重要,在节点设计中,要保证节点的安全可靠性,就必须采取更多的硬件抗干扰措施。

1.在布局过程中,为了尽量减小数字电路和模拟电路之间的相互影响,尽量将PCB 分区成独立的模拟部分和数字部分。

2.时钟电路通常是宽带噪声的最大产生源,所以时钟信号环路面积应尽量小;高速线路和时钟信号线的连接要短且直接连接;避免在晶振和其它固有噪声下面有其它线路。在实际布线中,考虑到电磁兼容及走线方便,将晶振置于51的正在下方,晶振外壳与地可靠连接,减少高频噪声的发射。

3.布线时尽量减少回路环的面积,以降低感应噪声。电源线要尽量粗。除减小压降外,更重要的是降低耦合噪声。

4.采用高速光电耦合器来实现收发器与控制器之间的电气隔离,保护控制系统电路。光电耦合选择高速器件,推荐型号:6N137或TLPL13,以满足在最高电气响应;

5.为增强CAN总线通信的可靠性,CAN总线网络里的两个端点通常要加入终端匹配电阻,电阻的大小由传输电缆的特性阻抗所决定。

6.为保障通信的可靠性,对节点间的通信可以采用屏蔽双绞线。

3.4 软件设计

系统软件由上位机管理软件和单元控制器控制软件组成。上位机管理软件是在Windows98操作平台上,利用Visual Basic6.0开发的,包括系统监控、通信管理、数据处理、控制命令、动态显示等模块,具有界面友好、显示直观、操作方便等优点。系统运行时,各检测点和控制点的位置以图形方式形象地显示在上位机显示器,检测和控制数据在各自位置旁动态显示,操作者经简单培训即可对整个系统进行控制。单元控制器控制软件采用8051汇编语言编程,固化于89C51的EEPROM中,主要完成数据采集、数据通信、I/O接口控制、数字显示控制等功能。根据各个季节对室内温、湿度的不同要求,软件中预选设置了不同季节的控制参数,并可通过小键盘随时进行修改。实际运行时,湿度控制精度达到±0.5℃,湿度控制精度达到±2%RH。

在中央空调控制系统上、下位机之间采用CAN总线进行通信,可大大提高系统工作的可靠性、实时性及扩展性,实现高精度的温度、湿度控制,具有广阔的推广应用前景。

3.4.1 主程序设计

信号采集节点的软件主要功能是将温度和压力值转换成模拟量传给控制计算机。同时将工频机的状态传给控制计算机,并根据命令控制工频机的启停。图3-8为主程序流程图,主程序主要完成微处理器的初始化,SJA1000的初始化、循环调用AD程序、CAN发送和CAN接收程序。

其中,读取工频机的状态即读取工频机的启停状态,读取系统控制信息即读取控制计

算机的信息,来控制工频机的启停。

主程序

系统初始化

调用CAN初始化子程序

调用AD转换子程序

读取工频机状态信息

调用CAN发送子程序

调用CAN接收子程序

读取系统控制信息

控制工频机

图3-8主程序流程图

3.4.2 A/D转换程序设计

A/D转换主要是将冷冻进出水温度、冷却出水温度、冷冻水进水压力和冷却水进水压力转换成数字量。选用了AD转换芯片TLC0834和TLC2543,此处主要介绍TLC2543。TLC2543是有11个输入端的12bit串行A/D转换器。控制字位从DATE INPUT端串行输入的8bit数据,它规定了TLC2543要转换的模拟量通道、转换后的输出数据长度以及输出数据的格式。其中高4bit(D7~D4)决定通道号,对于0通道至10通道,该4bit为0000—1010H。低4bit决定输出数据长度及格式,其中D3、D2决定输出数据长度,01表示输出数据长度为8bit,11表示输出数据长度为16bit,其他为12bit。D1决定输出数据是高位先送出,还是低位先送出,为0表示高位先送出。D0决定输出数据是单极性(二进制)还是双极性(2的补码),若为单极性,该位为0,反之为1,本系统采用12bit单极性,高位先送出。

下面以0通道转换为例给出转换的流程图,流程图如图所示

其中,在送1通道方式/通道数据时,读出的是0通道的转换数据。其它通道以此类推。在读高8位和送出8位控制字时,可以先将控制字放入累加器A中,然后读出一位转换数据到CY位,将累加器A左移一位,这样便将CY位即一位转换数据放到累加器A的最低

CH0A D转换

清时钟信号

置片选信号

读高8位数据,给出

8位控制数

逐位读出低4位数据

返回

位,同时将控制字的最高位放到CY位,以此类推,可以读出8位数据并送出。

结论

本课题主要借助于PCI技术,根据中央空调的实际应用要求,设计开发了一个基于CAN总线的中央空调控制系统。在Proteus软件平台上面设计了一个CAN总线通信原理图。经过验证,系统的功能特性符合CAN总线的通信要求,同时具有以下几个优点:

1. 系统应用灵活:设计人员可以增加CAN总线通信适配卡的单元控制器来满足实际应用的需求。系统功能的添加、改进都可随时方便地进行,能充分地满足各方面的需求。

2. 系统的功能强大:方便地实现CAN总线通信适配卡和PC机的硬件和软件资源的配合。比如完成大量数据存储备份、事后分析、与其它系统之间的数据共享等传统方法极难做到甚至不可能做到的功能。

3. 系统的集成度高:与传统的数字逻辑搭配形成的控制系统相互比较,本系统运用Microprocessor和CAN总线控制器的强大功能、高稳定度设计出了一个集成度很高的主机控制系统。

4. 系统的维护、升级方便:本系统如果出了硬件故障,可以马上很方便地卸下出故障的模块,再换上一块新的模块;如果出的是软件故障可以重新烧写一遍程序实现系统的正常运行,这样就大大缩短了系统出故障时的反应时间,提高了系统可靠性。当对仪器功能升级时,添加新的软硬件模块、编写模块的驱动程序即可,极其方便。

综上所述,本系统的开发中央空调系统提供了一套非常实用的通信系统。本系统较传统的控制系统尤其具备体积小巧、功能强大的优点,比如反应故障度快、测试精度高、数据存储量大等,具有广泛的应用领域和极大的开发价值。

参考文献

1 Gianluca Cena, Adriano Valenzano. FastCAN: A High-Performance Enhanced CAN-Like Network. IEEE Transactions on Industrial Electronics. 2000, 47(4): 951~963

2 佟为明,穆明,林景波. 现场总线标准. 低压电器. 2003(2): 32~36

3 周平义. 我国中央空调行业未来5~10年的发展趋势. 2001,1(1): 7~10

4 宁永生,王琪辉,张英.大型空调中央监控系统设计.暖通空调,2004,No.3:59-61

5冯华,王艳,殷天明集散式中央空调温控系统设计国外电子测量技术,2006,10

6 阳宪惠.现场总线技术及其应用清华大学出版社1999第一版

7 邬宽明.CAN总线原理和应用系统设计北京航空航天大学出版社1996

8 饶运涛,邹继军,郑勇芸.现场总线CAN原理与应用技术北京航空航天大学出版社2003

9 陈华明.CAN总线在工业控制系统中的应用.自动化综合技术文集.2003.56-6

10 阳宪惠.现场总线技术及其应用.北京:清华大学出版社,第一版,1999,70-386.

11 邹益仁,马增良,蒲维.现场总线控制系统的设计和开发.北京:国防工业出版社,第一版,2003

12 Philips Company,”Philips Semiconductors,Data Sheet SJA1000”..April 1997

13 Philips Company Philips Semiconductors,Data Sheet PCx82C200” November 1992

14 王松青,童明俶. LonWorks与BACnet现场总线技术及其在楼宇自动化系统中的应用.低压电器,2004,No.9:35-39

15 任清珍,张军等.现场总线控制系统的进步性及其实时性研究.测控技术,2004,V ol.23,NO.4:37-40.

基于STC89C51的CAN总线点对点通信模块设计

基于STC89C51的CAN总线点对点通信模块设计 [导读]随着人们对总线对总线各方面要求的不断提高,总线上的系统数量越来越多,继而出现电路的复杂性提高、可靠性下降、成本增加等问题。为解决上述问题,文中阐述了基于SJAl000的CAN总线通信模块的实现方法,该方法以PCA82C250作为通信模块的总线收发器,以SITA-l000作为网络控制器。并以STCSTC89C5l单片机来完成基于STC89C5l的CAN通信硬件设计。文章还就平台的初始化、模块的发送和接收进行了设计和分析。通过测试分析证明,该系统可以达到CAN的通信要求,整个系统具有较高的实用性。 0 引言 现场总线是应用在生产最底层的一种总线型拓扑网络,是可用做现场控制系统直接与所有受控设备节点串行相连的通信网络。在工业自动化方面,其控制的现场范围可以从一台家电设备到一个车间、一个工厂。一般情况下,受控设备和网络所处的环境可能很特殊,对信号的干扰往往也是多方面的。但要求控制则必须实时性很强,这就决定了现场总线有别于一般的网络特点。此外,由于现场总线的设备通常是标准化和功能模块化,因而还具有设计简单、易于重构等特点。 1 CAN总线概述 CAN (Controller Area Network)即控制器局域网络,最初是由德国Bosch公司为汽车检测和控制系统而设计的。与一般的通信总线相比,CAN总线的数据通信具有突出的可靠性、实时性和灵活性。其良好的性能及独特的设计,使CAN总线越来越受到人们的重视。由于CAN总线本身的特点,其应用范围目前已不再局限于汽车行业,而向自动控制、航空航天、航海、过程工业、机械工业、纺织机械、农用机械、机器人、数控机床、医疗器械及传感器等领域发展。目前,CAN已经形成国际标准,并已被公认为几种最有前途的现场总线之一。它的直线通信距离最大可以达到l Mbps/30m.其它的节点数目取决于总线驱动电路,目前可以达到110个。 2 CAN系统硬件设计 图1所示是基于CAN2.0B协议的CAN系统硬件框图,该系统包括电源模块、MCU部分、CAN控制器、光电耦合器、CAN收发器和RS232接口。硬件系统MCU采用STC89C5l,CAN控制器采用SJAl000,CAN收发器采用PCA82C250,光耦隔离采用6N137。

CAN总线呕心沥血教程

哥很郁闷,为了CAN研究了不少,看了不少资料,现在我给大家总结一下先看看工作原理 当CAN总线上的一个节点(站)发送数据时,它以报文的形式广播给网络中所有节点,对每个节点来说,无论数据是否是发给自己的,都对其接收。每组报文开头的11位字符为标识符,定义了报文的优先级,这种报文格式成为面向内容的编制方案。同一系统中标识符是唯一的,不可能有两个站发送具有相同标识符的报文,当几个站同时竞争总线读取时,这种配置十分重要。 大体的工作原理我们搞清了,但是根本的协议我们还要花一番功夫。下面介绍一个重要的名词,“显性“和”隐性“ 在我看到的很多文章里,有很多显性和隐性的地方,为此我头痛不已,最终我把它们彻底弄明白了。 首先CAN数据总线有两条导线,一条是黄色的,一条是绿色的。分别是CAN_High线和CAN_Low线 当静止状态时,这两条导线上的电平一样。这个电平称为静电平。大约为2.5伏。这个静电平状态就是隐形状态,也称隐性电平。也就是没有任何干扰的时候的状态称为隐性状态.当有信号修改时,CAN_High线上的电压值变高了,一般来说会升高至少1V,而CAN_Low线上的电压值会降低一个同样值,也是1v,那么这时候。CAN_High就是2.5v+1v=3.5v,它就处于激活状态了。而CAN_Low降为2.5v-1v=1.5v。 可以看看这个图 由此我们得到 在隐性状态下,CAN_High线与CAN_Low没有电压差,这样我们看到没有任何变化也就检测不到信号。但是在显性状态时,改值最低为2V,我们就可以利用这种变化才传输数据了。所以出现了那些帧,那些帧中的场,那些场中的位,云云~~~~~~~~~~~ 在总线上通常逻辑1表示隐性。而0表示显性。这些1啊,0啊,就可以利用起来为我们传数据了。 利用这种电压差,我们可以接收信号。 一般来说,控制单元通过收发器连接到CAN驱动总线上,这个收发器(顾名思义,可发送,可接收)内有一个接收器,该接收器是安装在接收一侧的差动信号放大器。然后,这个放大器很自然地就放大了CAN_High和CAN_Low线的电平差,然后传到接收区。如下图 由上图可知,当有电压差,差动信号放大器放大传输,将相应的数据位任可为0。下面我们进入重点难点。报文 所谓报文,就是CAN总线上要传输的数据报,为了安全,我们要给我们传输的数据报编码定一下协议,这样才能不容易出错,所以出现了很多的帧,以及仲裁啊,CRC效验。这些都是难点。 识别符的概念。 识别符顾名思义,就是为了区分不同报文的可以鉴别的好多字符位。有标准的,和扩展的。标准的是11位,扩展的是29位。他有一个功能就是可以提供优先级,也就是决定哪个报文优先被传输,报文标识符的值越小,报文具有越高的优先权。CAN的报文格式有两种,不同之处其实就是识别符长度不同,具有11位识别符的帧称为标准帧,而还有29位识别符的帧为扩展帧,CAN报文有以下4个不同的帧类型。分别是

CAN总线设计

微机应用课程设计报告 ` 题目:基于单片机的16*16点阵系统设计 专业: … 班级: 姓名: 学号: 地点: 时间: 指导老师:

~

摘要 现场总线是自动化领域的计算机网络,是当今自动化领域技术发展的热点之一。它以总线为纽带,将现场设备连接起来成为一个能够相互交换信息的控制网络,是一种双向串行多节点数字通信的系统。CAN总线也是现场总线的一种,它最初被应用于汽车的控制系统中,由于其卓越的性能,CAN总线的应用范围已不再局限于汽车工业中,而被广泛的用到自动控制、楼宇自动化、医疗设备等各个领域。 本文主要介绍一种基于CAN总线的控制系统,通过对这一系统的制作流程来说明CAN总线的简单应用,文章主要是对本控制系统的三个硬件模块进行介绍及模块中相关芯片的应用,同时本文也对软件的编写进行了说明。 关键字:现场总线; CAN总线;单片机;控制系统

目录 1 绪论 (1) CAN总线的简单介绍 (1) CAN总线的优势 (1) 网络各节点之间的数据通信实时性强 (2) 缩短了开发周期 (2) 已形成国际标准的现场总线 (2) 最有前途的现场总线之一 (2) 2 硬件电路设计 (3) 单片机模块 (3) STC89C52主要特性如下: (4) STC89C52RC单片机的工作模式 (5) CAN总线控制器模块 (6) SJA1000简介 (6) PCA82C250简介 (9) 通信模块和外围接口 (11) 通信模块 (11) 外围接口 (12) 3 CAN总线控制系统软件设计 (13) 初始化程序 (13) 数据的接收和发送功能 (15) 发送数据 (15) 接收数据 (17) 4 总结 (19) 参考文献 (20) 附录一 (21)

CAN总线网络设计

1 引言 can(controller area network)即控制器局域网络,最初是由德国bosch公司为解决汽车监控系统中的自动化系统集成而设计的数字信号通信协议,属于总线式串行通信网络。由于can总线自身的特点,其应用领域由汽车行业扩展到过程控制、机械制造、机器人和楼宇自动化等领域,被公认为最有发展前景的现场总线之一。 can总线系统网络拓扑结构采用总线式结构,其结构简单、成本低,并且采用无源抽头连接,系统可靠性高。本设计在保证系统可靠工作和降低成本的条件下,具有通用性、实时性和可扩展性等持点。 2 系统总体方案设计 整个can网络由上位机(上位机也是网络节点)和各网络节点组成(见图1)。上位机采用工控机或通用计算机,它不仅可以使用普通pc机的丰富软件,而且采用了许多保护措施,保证了安全可靠的运行,工控机特别适合于工业控制环境恶劣条件下的使用。上位机通过can总线适配卡与各网络节点进行信息交换,负责对整个系统进行监控和给下位机发送各种操作控制命令和设定参数。 网络节点由传感器接口、下位机、can控制器和can收发器组成,通过can收发器与总线相连,接收上位机的设置和命令。传感器接口把采集到的现场信号经过网络节点处理后,由can收发器经由can总线与上位机进行数据交换,上位机对传感器检测到的现场信号做进一步分析、处理或存储,完成系统的在线检测,计算机分析与控制。本设计can总线传输介质采用双绞线。 图 1 can总线网络系统结构 3 can总线智能网络节点硬件设计 本文给出以arm7tdmi内核philips公司的lpc2119芯片作为核心构成的智能节点电路设计。该智能节点的电路原理图如图2所示。该智能节点的设计在保证系统可靠工作和降低成本的条件下,具有通用性、实时性和可扩展性等特点,下面分别对电路的各部分做进一步

课程设计--CAN总线

课程设计 题目 CAN通信 二级学院电子信息与自动化 专业自动化 班级 107070103 学生姓名学号 指导教师熊文 考核项目 设计50分平时 成绩 20分 答辩30分 设计质量 20分 创新设计 15分 报告质量 15分 熟练程度 20分 个人素质 10分 得分 总分考核等级教师签名

摘要: CAN总线是控制器局域网总线(contr01ler AreaNetwork)的简称。属于现场总线的范畴,是一种有效支持分布式控制或实时控制的串行通信网络。由于其高性能、高可靠性及独立的设计而被广泛应用于工业现场控制系统中。SJAl000是一个独立的CAN控制器,PCA82C200的硬件和软件都兼容,具有一系列先进的性能,特别在系统优化、诊断和维护方面,因此,SJAl000将会替代PCA82C200。SJAl000支持直接连接到两个著名的微型控制器系列80C51和68xx。下面以单片机AT89C52和SJAl000为例,介绍CAN总线模块的硬件设计和CAN通信软件的基本设计方法。 关键词:AT89S52 CAN通信 SJA1000

目录: (一) 背景: (二) CAN介绍 (三) SJA1000内部结构和功能简介 (四) 硬件电路图 (五) 初始化程序 (六) 测试 (七) 总结

一背景: CAN(Controller Area Network)数据总线是一种极适于汽车环境的汽车局域网。CAN总线是德国Bosch公司为解决汽车监控系统中的 复杂技术难题而设计的数字信号通信协议,它属于总线式串行通信网 络。由于采用了许多新技术和独特的设计思想,与同类车载网络相比,CAN总线在数据传输方面具有可靠、实时和灵活的优点。 1991年9月Philips半导体公司制定并发布了CAN技术规范(版本 2.0),该技术规范包括A部分和B两部分,其中2.0A给出了CAN报文的标 准格式;2.0B给出了标准和扩展两种格式。此后,1993年11月ISO正 式颁布了道路交通运输工具一数据信息交换一高速通信控制器局域 网(CAN)的国际标准IS011898,为控制器局域网的标准化和规范化铺 平了道路。 二CAN介绍 CAN通信的特点: (1) CAN是到目前为止唯一具有国际标准且成本较低的现场总线; (2) CAN废除了传统总线的站地址编码,对通信数据块进行编码,为 多主方式工作,不分主从,通信方式灵活,通过报文标识符通信,可 使不同的节点同时接收到相同的数据,无需站地址等节点信息。 (3) CAN采用非破坏性总线仲裁技术,当多个节点同时向总线发送信 息时,优先级较低的节点会主动地退出发送,而最高优先级的节点可 不受影响地继续传输数据,从而大大节省了总线冲突仲裁时间。尤其 是在网络负载很重的情况下也不会出现网络瘫痪情况(以太网则有可

(完整版)CAN总线解析

一、概述 CAN(Controller Area Network)即控制器局域网,是一种能够实现分布式实时控制的串行通信网络。 想到CAN就要想到德国的Bosch公司,因为CAN就是这个公司开发的(和Intel)CAN 有很多优秀的特点,使得它能够被广泛的应用。比如:传输速度最高到1Mbps,通信距离最远到10KM,无损位仲裁机制,多主结构。 近些年来,CAN控制器价格越来越低,很多MCU也集成了CAN控制器。现在每一辆汽车上都装有CAN总线。 一个典型的CAN应用场景: 二、CAN总线标准 CAN总线标准只规定了物理层和数据链路层,需要用户来自定义应用层。不同的CAN标准仅物理层不同。

CAN收发器负责逻辑电平和物理信号之间的转换,将逻辑信号转换成物理信号(差分电平)或者将物理信号转换成逻辑电平。 CAN标准有两个,即IOS11898和IOS11519,两者差分电平特性不同。(有信号时,CANH 3.5V,CANL 1.5V,即显性;没有信号时,CANH 2.5V,CANL 2.5V,即隐性) IOS11898高速CAN电平中,高低电平的幅度低,对应的传输速度快。 双绞线共模消除干扰,是因为电平同时变化,电压差不变。 2.1物理层 CAN有三种接口器件

多个节点连接,只要有一个为低电平,总线就为低电平,只有所有的节点都输出高电平时,才为高电平。所谓“线与”。 CAN总线有5个连续性相同的位后,就会插入一个相反位,产生跳变沿,用于同步。从而消除累计误差。 和485、232一样,CAN的传输速度与距离成反比。 CAN总线终端电阻的接法:

特点:低速CAN在CANH和CANL上串入2.2kΩ的电阻;高速CAN在CANH和CANL 之间并入120Ω电阻。为什么是120Ω,因为电缆的特性阻抗为120Ω,为了模拟无限远的传输线。(因为大多数双绞线电缆特性阻抗大约在100~120Ω。) 120欧姆只是为了保证阻抗完整性,消除回波反射,提升通信可靠性的,因此,其只需要在总线最远的两端接上120欧姆电阻即可,而中间节点并不需要接(接了反而有可能会引起问题)。因此各位在使用CAN Omega做CAN总线侦听的时候,大多数情况下是不需要这个120欧姆电阻的,当然,即使当前网络中并没有终端匹配电阻,只要传输线长度不长(比如SysCan360比赛环境中,传输线只有1-2米)CAN节点数量不多的情况下,不要这个120欧姆电阻也完全可以工作,甚至,你接任意电阻都是不会有影响的。因为此时传输线长度和波长还相差甚远,节点不多的情况下,反射波的叠加信号强度也不会很强,因此传输线效应完全可以忽略。 而哪些情况需要呢,主要就是,当使用2个CAN Omega对发或者当前网络中仅有2个CAN设备的时候,此时两个端点最好都加上终端匹配电阻,当然,前面也说过了,传输线长度不长的时候,也可以不需要2端120欧姆电阻,但为了信号完整性考虑,加上这两个电阻才是严谨的。 2个120欧姆电阻的意义在于,使用USB CAN调试某些不带终端电阻的中间节点设备时,有时候CAN总线上没有2个120欧姆电阻通信可能会异常,此时可以接入2个120欧姆电阻作为2个终端电阻来作阻抗匹配,这时候其他端点不应接入任何终端电阻!并且,这2个120欧姆电阻不可用1个60欧姆电阻代替!

CAN总线设计(最终版)(1)

CAN-USB适配器设计 ***** 指导老师:*** 学院名称:***** 专业班级:**** 设计提交日期:**年**月 摘要 随着现场总线技术和计算机外设接口技术的发展,现场总线与计算机快速有效的连接又有了更多的方案。USB作为一种新型的接口技术,以其简单易用、速度快等特点而备受青睐。本文介绍了一种基于新型USB接口芯片CH372的CAN总线网络适配器系统的设计,提出了一种使用USB接口实现CAN总线网络与计算机连接

的方案。利用芯片CH372可在不了解任何USB协议或固件程序甚至驱动程序的情况下,轻松地将并口或串口产品升级到USB接口。该系统在工业现场较之以往的系统,可以更加灵活,高速,高效地完成大量数据交换,并可应用于多种控制系统之中,具有很大的应用价值。 关键词:USB;CH372;CAN;SJA100;适配器 目录 1.设计思想 (3) 2.CAN总线与USB的转换概述 (4) 3. 适配器硬件接口设计 (5) 3.1 USB接口电路 (5)

3.2 CAN总线接口电路 (7) 4.USB通用设备接口芯片CH372 (8) 4.1 概述 (8) 4.2 引脚功能说明 (9) 4.3 内部结构 (9) 4.4 命令 (10) 5.软件设计 (10) 5.1 概述 (10) 5.2主监控程序设计 (12) 5.3 CAN和USB接口芯片的初始化 (13) 5.4 CAN报文的发送 (15) 5.5 CAN报文的接收 (17) 5.6.自检过程 (19) 5.7 USB下传子程序设计 (20) 5.8 USB上传子程序设计 (22) 5.9.USB—CAN转换器计算机端软件设计 (23) 6. 抗干扰措施 (25) 7. 估算成本 (26) 8. 应用实例介绍 (27) 9 总结及设计心得 (28) 10 参考文献 (28) 1 设计思想 现场总线网络技术的实现需要与计算机相结合。目前,在微机上扩展CAN总线接口设备一般采用PCI总线或者RS-232总线。PCI虽然仍是高速外设与计算机接口的主要渠道,但其主要缺点是占用有限的系统资源、扩展槽地址;中断资源有限;并且插拔不方便;价格较贵;而且设计复杂、需有高质量的驱动程序保证系统的稳定;且无法用于便携式计算机的扩

CAN总线系统设计中的几个问题

CAN总线系统设计中的几个问题 北京航空航天大学管理学院(100083) 邬宽明 摘 要:论述了CAN总线系统设计中系统时钟和位时间的选定、CAN中断服务程序编制以及较长报文拼接等问题。 关键词:CAN总线设计 系统时钟 位时间 中断服务 报文拼接 CAN总线是德国Bo sch公司在80年代初为解决现代汽车中众多的控制与测试仪器之间的数据交换而开发的一种串行数据通信总线,它是一种多主总线系统,通信介质可以是双绞线、同轴电缆或光导纤维。通信速率可达1M bp s。CAN总线通信控制器中集成了CAN协议的物理层和数据链路层功能,可完成对通信数据的成帧处理,包括零位的插入 删除、数据块编码、循环冗余检验、优先级判别等项工作。CAN协议的一个最大特点是废除了传统的站地址编码,而代之以对通信数据块进行编码。采用这种方法的优点是可使网络内的节点个数在理论上不受限制,数据块的标识码可由11位(按CAN技术规范210A)或29位(按CAN 技术规范210B)二进制数组成,因此可以定义211或229个不同的数据块。这种按数据块编码的方式,还可使不同的节点同时接收到相同的数据,这一点在分布式控制系统中非常有用。数据段长度最多为8个字节,可满足通常工业领域中控制命令、工作状态及测试数据的一般要求。同时,8个字节不会占用总线时间过长,从而保证了通信的实时性。CAN协议采用CRC检验并可提供相应的错误处理功能,保证了数据通信的可靠性。CAN的这些卓越特性,极高的可靠性和独特的设计,特别适合工业过程监控设备的互连,因此,越来越受到工业界重视,并已被公认为最有前途的现场总线之一。1993年11月ISO正式颁布了道路交通运载工具—数字信息交换—高速通信控制器局部网(CAN)国际标准(ISO11898)。为控制器局部网标准化、规范化推广铺平了道路。可以预料,控制器局部网在我国迅速发展和普及是指日可待的。 本文分别论述CAN总线系统设计中经常遇到的系统时钟和位时间如何选定、CAN中断服务程序如何安排以及较长报文如何拼接等几个问题。 1 系统时钟和位时间的选定 在CAN控制器中提供两个总线定时寄存器,其中总线定时寄存器0(BR T0)可决定波特率予分频(BR P)和同步跳转宽度(SJW)的数值,其低六位(D5~D0)用来确定系统时钟,而其高二位(D7,D6)用来确定同步跳转宽度(SJW)。总线定时寄存器1(BR T1)可决定位周期宽度、采样点位置和在每个采样点进行采样的次数,其D3~D0用于T SEG1,而D6~D4用于T SEG2并按下式计算: t TSEG1=t SCL(8T SEG1.3+4T SEG1.2+2T SEG1.1 +T SEG1.0+1) t TSEG2=t SCL(4T SEG2.2+2T SEG2.1+T SEG2.0+1) 图1 每位时间和采样点位置T SEG1和T SEG2可 确定每位的时钟周期数目 和采样点位置,如图1所 示 若P8XC592复位请求 位被置为高,这两个寄存器 均可被访问(读 写)。系统时 钟t SCL可使用下列等式计算: t SCL=2t CL K(32BR P.5+16BR P.4+8BR P.3+4BR P.2 +2BR P.1+BR P.0+1) 其中:t CL K为P8XC592振荡器的时钟周期 实例:设晶体振荡器频率为16M H Z,BTR0=00H, BTR1=14H,计算系统时钟和位时间 由给定BTR0和BR T1值可知: BR P.5,BR P.4,BR P.3,BR P.2,BR P.1和BR P10均为0,另外,除T SEG112和T SEG210为1外,其余系数均为01因此有, t SCL=2t CL K(32×0+16×0+8×0+4×0+2×0 +0+1)=2t CL K t TSEG1=t SCL(8×0+4×0+2×0+1)=5t SCL t TSEG2=t SCL(4×0+2×0+1×0+1)=2t SCL t b=(1+5+2)t SCL=2×8×t CL K=1M bp s 此时同步跳转宽度(SJW)为 t SJW=t SCL(2SJW.1+SJW.01+1)=t SCL即1 8(Λs)实例2:设晶体振荡器频率为16M H z,BTR0= 7FH,BTR1=7FH,计算系统时钟和位时间 由给定BR T0和BR T1值可知: BR P15,BR P14,BR P13,BR P12,BR P11,和BR P10,均为1,另外,T SEG11X和T SEG21X亦均为 81四通电脑应用美国德州工控机6257723062577231 《电子技术应用》1998年第9期

CAN总线白皮书

CAN 总线技术白皮书

目录 1. 概述 (1) 1.1 技术优点 (1) 2. CAN 总线介绍 (2) 2.1 CAN 总线协议 (2) 2.2 CAN 总线物理特性 (3) 2.3 CAN 总线帧格式及帧类型 (4) 2.3.1 CAN 总线帧格式 (4) 2.3.2 CAN 总线帧类型 (4) 2.4 相关术语 (7) 2.4.1 CAN 总线仲裁机制 (7) 2.4.2 DLC (8) 2.4.3 帧间空间 (8) 2.4.4 位定时 (9) 2.4.5 同步 (10) 2.4.6 CAN 总线错误 (11) 3.参考文献 (14)

1. 概述 CAN 是Control er Area Network(控制器局域网络)的简称,最初是由德国Bosch 公司设 计的,应用于汽车的监测和控制。CAN 总线作为一种技术先进、可靠性高、功能完善、成本合 理的远程网络通讯控制方式,逐步被广泛应用到各种控制领域。 1991 年9 月,Philips 制定并发布CAN 技术规范:CAN 2.0A 和CAN2.0B。1993 年11 月,ISO 组织正式颁布CAN 国际标准ISO11898。CAN 总线是唯一成为国际标准的现场总线。 1.1技术优点 多主结构依据优先权进行总线访问; 非破坏性的基于优先权的逐位仲裁,对于优先级最高的节点来说“发送时间”就是无损的; 借助验收滤波器的多地址帧传递; 远程数据请求; 全系统数据相容性; 错误检测和出错信令; 很远的数据传输距离(长达10KM); 高速的数据传输速率(高达1Mbps); 高度实时性:每帧报文允许传输最高8 个字节的数据; 发送期间丢失仲裁或出错而遭到破坏的帧可自动重发; 暂时错误和永久性故障节点的判别以及故障节点的自动脱离; 脱离总线的节点不影响总线的正常工作

CAN总线系统智能节点设计

https://www.wendangku.net/doc/9419045652.html, CAN总线系统智能节点设计 作者:邹继军饶运涛 信息工程系 华东地质学院 摘要:CAN总线上的节点是网络上的信息接收和发送站;智能节点能通过编程设置工作方式、ID地址、波特率等参数。它主要由单片机和可编程的CAN通信控制器组成。本文介绍这类节点的硬件设计和软件设计;其中软件设计包括SJA1000的初始化、发送和接收等应用中的最基本的模块子程序。 关键词:总线节点CAN 控制器 引言: CAN (Controller Area Network)总线,又称控制器局域网,是Bosch公司在现代汽车技术中领先推出的一种多主机局部网,由于其卓越的性能、极高的可靠性、独特灵活的设计和低廉的价格,现已广泛应用于工业现场控制、智能大厦、小区安防、交通工具、医疗仪器、环境监控等众多领域。CAN已被公认为几种最有前途的现场总线之一。CAN总线规范已被ISO国际标准组织制订为国际标准,CAN 协议也是建立在国际标准组织的开放系统互连参考模型基础上的,主要工作在数据链路层和物理层。用户可在其基础上开发适合系统实际需要的应用层通信协议,但由于CAN总线极高的可靠性,从而使应用层通信协议得以大大简化。 CAN总线与其他几种现场总线比较而言,是最容易实现、价格最为低廉的一种,但其性能并不比其他现场总线差。这也是目前CAN总线在众多领域被广泛采用的原因。节点是网络上信息的接收和发送站,所谓智能节点是由微处理器和可编程的CAN控制芯片组成,它们有两者合二为一的,如芯片P8XC592,也有如本文介绍的,独立的通信控制芯片与单片机接口,后者的优点是比较灵活。当然,也

基于STM32F407的双CAN总线设计与实现

基于STM32F407的双CAN总线设计与实现 【摘要】本文是基于意法半导体(ST)新推出的一款高性能CortexTM-M4内核的ARM 芯片STM32F407ZGT6,进行的双CAN总线设计。在开发过程中采用了ST提供的可视化图形界面开发工具STM32Cube进行底层驱动的配置,简化了设计工作。但由于该工具链接的固件库函数存在传递参数错误,使得CAN总线无法接收数据,本文对该库函数进行了更正。 【关键词】STM32F407;CAN;STM32Cube Design and Realization of Double CAN Buses on STM32F407 LIU Peng (Chinese Electron Scientific and Technological Company 20th Institute,Xi’an Shaanxi 710068,China) 【Abstract】Based on a high-performance ARM with CortexTM-M4 core which launched by STMicroelectronics (ST)--STM32F407ZGT6,the double CAN bus is designed in this paper. A visual graphical interface-STM32cube which is provided by ST,is used to configure the underlying driver in this development process. It simplifies the design work. However,

车辆CAN总线概述(完整版)解析

一.CAN总线简介 1. CAN总线的发展历史 20世纪80年代初期,欧洲汽车工业的蓬勃发展,车辆电子信息化程度的也不断提高。当时,由于消费者对于汽车功能的要求越来越多,而这些功能的实现大多是基于电子操作的,这就使得电子装置之间的通讯越来越复杂,同时意味着需要更多的连接信号线,但是传统的线束式汽车电子系统已经不能满足车辆电子信息功能发展的需求。为了解决这一制约现代汽车电子信息化发展的瓶颈,德国Bosch公司设计了一个单一的网络总线,所有的外围器件可以被挂接在该总线上,经过试验,这一总线能够有效解决现代汽车中庞大的电子控制装置之间的通讯,并且能够减少不断增加的信号线。所以在1986年Bosch公司正式公布了这一总线,且命名为CAN总线。 CAN控制器局部网(CAN—Controller Area Network)属于现场总线的范畴,它是一种有效支持分布式控制或实时控制的串行通讯网络,它具有很高的网络安全性、通信可靠性和实时性,简单实用,网络成本低,特别适用于汽车计算机控制系统和环境恶劣、电磁辐射强和振动大的工业环境,因此CAN总线在诸多现场总线中独占鳌头,成为汽车总线的代名词,CAN总线开始进入快速发展时期:1987年Intel公司生产出了首枚CAN控制器(82526)。不久,Philips公司也推出了CAN 控制器82C200; 1991年,Bosch颁布CAN 2.0技术规范,CAN2.0包括A和B两个部分 为促进CAN以及CAN协议的发展,1992在欧洲成立了国际用户和厂商协会(CAN in Automation,简称CiA),在德国Erlangen注册,CiA总部位于Erlangen。CiA提供服务包括:发布CAN的各类技术规范,免费下载CAN文献资料,提供CANopen规范DeviceNet规范;发布CAN产品数据库,CANopen产品指南;提供CANopen验证工具执行CANopen认证测试;开发CAN规范并发布为CiA 标准。 1993 年CAN 成为国际标准ISO11898(高速应用)和ISO11519(低速应用); 1993年,ISO颁布CAN国际标准ISO-11898; 1994年,SAE颁布基于CA N的J1939标准; 2003年,Maybach发布带76个ECU的新车型(CAN,LIN,MOST);

CAN总线技术在汽车中的应用

技术导向 CAN总线技术在汽车中的应用 【摘要】文章首先概述了CAN总线技术,并详细阐述了CAN总线技术的特点和优点,及其结构和数据,传输原理,从而引出CAN总线研究的重点、关键技术及其在现代汽车上的应用现状和发展趋势。 【主题词】CAN总线汽车应用 前言 近20年来,随着现代电子技术、信息技术的发展,汽车上由电子控制单 元(ECU)控制的部件数量越来越多,例如,数字式电控燃油喷射系统(DEFI)、 废气再循环控制系统(EGR)、防抱死制动系统(ABS)、防滑控制系统(ASR)、 牵引力控制系统(TRC)、车辆稳定控制系统(VSC)、巡航系统(CCS)等等。 大量传感器、集成电路和计算机芯片等电子元器件在汽车上的广泛应用, 在提高汽车动力性、经济性、舒适性和安全性的同时,也带来其他问题: (1)电子设备的大量应用必然导致车身布线愈来愈复杂、运行可靠性降低、故障维修难度增大,必然造成庞大的布线系统。比如在沃尔沃公司生产的S80型轿车中,所安装的电缆长达1200 m,有54根保险丝。从材料成本和工作效率看,传统布线方法都将不能适应汽车的发展。 (2)上述DEFI、EGR、ABS、ASR等子系统对控制信息的共享和实时性的要求,需要共享发动机转速、车轮转速、油门踏板位置等公共数据,同时各个子系统对实时性的要求因为数据的更新速率和控制周期的不同而有 差别。传统的线缆已远远不能满足这种需求。 (3)为了使不同厂家生产的部件能在同一辆汽车中协调工作,必须按照

某种约定的标准来解决其状态信号和控制信息的传递问题。针对上述问题,在借鉴计算机网络技术和现场控制技术的基础上,诞生了各种适用于汽车环境的汽车网络技术。经过长时间发展,已形成Hart、Lonworks、Profibus、Bitbus及CAN等多种现场总线协议。CAN是控制器局域网络的简称,它由德国的Bosch公司及几个半导体生产商开发的,CAN总线是一种串行多主站控制器局域网总线。它具有很高的网络安全性、通讯可靠性和实时性,简单实用,网络成本低。特别适用于汽车计算机控制系统和环境温度恶劣、电磁辐射强和振动大的工业环境。因此CAN总线在诸多总线中独占鳌头,逐渐成为汽车总线的代名词。 1、CAN总线技术的特点和优点 CAN总线与一般的通信总线相比,它的数据通信具有突出的可靠性、实时性和灵活性。其主要特性如下: (1)具有较高的性价比。它结构简单,器件容易购置,每个节点的价格较低,而且开发过程中能充分利用现在的单片机开发工具; (2)是目前为止唯一有国际标准的现场总线; (3)为多主方式工作,网络上任一节点均可在任意时刻主动向网络上其他节点发送信息而不分主从,通信方式灵活,且无需站地址等节点信息; (4)网络上的节点信息分成不同的优先级, 可满足不同的实时要求,高优先级的数据最多可在134μs内得到传输; (5)采用非破坏性总线仲裁技术,当多个节点同时向总线发送信息时,优先级较低的节点会主动地退出发送,而最高优先级的节点不受影响地继续传输数据,从而大大节省了总线冲突仲裁时间。尤其是在网络负载很重的情

CAN总线硬件设计

EDN-CAN总线助学【之八】-CAN总线硬件设计 这一讲我们详细介绍一下CAN总线通讯模块的硬件设计:CAN总线学习板上C AN通讯模块的设计。包括三个部分:(1)与CPU的接口;(2)CAN控制器SJA1000与驱动器82C250接口及其他外围电路;(3)82C250外围电路。 电路如下: 1 SJA1000与CPU接口 我们在学习单片机原理的时候,我相信大家都学习过RAM,ROM,I/O口扩展。大家可以把SJA1000看作一个外部的RAM,扩展电路十分简单。SJA1000支持两种模式单片机的连接,我们选用的是8051系列的单片机,所以选择的是I ntel模式。 (1)SJA1000的数据线和地址线是共用的,STC89C52的数据线和地址线也是共用的,这就更加方便了,直接连接就OK了。 (2)既然数据线和地址线共用,必须区分某一时刻,AD线上传输的是地址还是数据,所以就需要连接地址锁存信号 ALE。 (3)随便使用一个单片机管脚作为SJA1000的片选信号,我们学习板使用的是P20。当然你也可以直接接地。

(4)读写信号直接和单片机连接就行了,就不必多说了! (5)我们采用单片机的IO口线控制SJA1000的RST管脚,是为了软件可以实现硬复位SJA1000芯片。 (6)SJA1000的中断管脚连接单片机的INT1外部中断。当收到一包数据后,通知CPU。 2 SJA1000与82C250的接口及其他外围电路 (1)SJA1000有两路发送和接收管脚,CAN总线学习板使用了第0路。与82 C250的连接比较简单,直接连接就可以了。但应该数据发送和接收管脚不要接反了。而且我们增加了通讯状态指示灯,便于调试。 (2)时钟电路:SJA1000的最高时钟可达24M,我们学习板使用的是16M的晶振。另外增加了一个启动电阻R9(10M欧姆)。 (3) 3 82C250外围电路 (1)CANH和CANL管脚增加阻容电路,滤除总线上的干扰,提高系统稳定性。(2)RS管脚为斜率电阻输入。通过这个管脚来选择82C250的工作模式:高速模式(应用与对数据传输速率高的情况,通讯数据线最好是屏蔽的);斜率模式(速度较低,通讯线可以是普通的双绞线)。准备模式(应用于对功耗要求比较高的场合)。我们的学习板采用的是斜率模式,方便大家学习。 (3)J3是外部总线的连接口。 (4)J4是终端电阻的选择端。 到现在为止,CAN总线学习的硬件部分就介绍完了,请等待下面的软件试验部分!

CAN总线资料汇总

CAN总线资料汇总 工业设备通信通常涉及到很多硬件和软件产品以及用于连通标准计算机平台(个人计算机或工作站)和工业自动化应用设备的协议,而且所使用设备和协议的种类繁多。因此,大部分自动化应用设备都希望执行简单的串行命令,并希望这些命令同个人计算机或者附加的串行端口板上的标准串行端口兼容。 RS-232是目前PC机与通信工业中应用最广泛的一种串行接口。RS-232被定义为一种在低速率串行通讯中增加通讯距离的单端标准。由于RS-232的发送端与接收端之间有公共信号地,所以它不能使用双端信号,否则,共模噪声会耦合到信号系统中。RS-232标准规定,其最大距离仅为15m,信号传输速率最高为20kbit/s。 CAN,全称为“Controller Area Network”,即控制器局域网,是国际上应用最广泛的现场总线之一,一个由CAN总线构成的单一网络受到网络硬件电气特性的限制。CAN作为一种多主方式的串行通讯总线,其基本设计规范要求高位速率和较高的抗电磁干扰性能,而且要能够检测出通讯总线上产生的任何错误。当信号传输距离达10km时,CAN仍可提供高达50kbit/s的数据传输速率。表1为CAN总线上任意两个节点之间最大传输距离与其位速率之间的对应关系。 表1 CAN总线系统任意两节鼎足之势之间的最大距离 由此可见,无论从实时性、适应性、灵活性,还是可靠性上来看,CAN总线都是一种比RS-232更为优秀的串行总线。当两台串口设备的相距较远,不能直接用RS-232把它们连接起来时,就可以把 RS-232转换为CAN,通过CAN总线来实现串口设备的网络互连。 但是,RS-232和CAN在电平和帧格式上都是很大的不同。具体表现如下: RS-232标准电平采用负逻辑,规定+3V~+15V之间的任意电平为逻辑“0”电平,-3V~-15V之间的任意电平为逻辑“1”电平。而CAN信号则使用差分电压传送,两条信号线称为“CAN_H”和“CAM_L”,静态时均为2.5V左右,此时的状态表示为逻辑“1”,也可以叫做“隐性”;用CAN_H比CAN_L高表示逻辑“0”,称为“显性”。显性时,通常电压值为:CAN_H=3.5V,CAN_L=1.5V; RS-232串口的帧格式为:一位起始位,八位数据位,一位可编程的第九位(此位为发送和接收的地址/数据位),一位停止位。而CAN的数据帧格式为:帧信息+ID+数据(可分为标准帧和扩展帧两种格式)。 因此,设计时就需要有一个微控制器来实现电平和帧格式等的转换。其转换方式如图1所示。 2 RS-232到CAN转换的硬件设计 在设计RS-232到CAN的转换装置时,用单片机AT89C52作为微处理器;用SJA1000作为CAN 微控制器,SJA1000中集成了CAN协议的物理层和数据链路层功能,可被动局面对通信数据的帧处理;AT82C250作为CAN控制器和物理总线之间的接口,用于提供总线的差动发送能力和CAN控制器的差动接收能力,通过AT82C250的引脚3可选择三种不同的工作方式(高速、斜率控制和待机)。其中引脚3接地时为高速方式;高速光隔用6N137实现,其作用是防止串入信号干扰;MAX232用来完成232电平到微控制器接口芯片TTL电平的转换。具体的硬件接口电路参见SJA1000的有关资源,这里不再多做说明。但有以下几点需要注意。

CAN总线学习总结

1、首先通读手册中关于C A N的文档,必须精读。STM32F10xxx参考手册Rev7V3.pdf 需要精读的部分为RCC和CAN两个章节。 为什么需要精读RCC呢?因为我们将学习CAN的波特率的设置,将要使用到RCC部分的设置,因此推荐大家先复习下这部分中的几个时钟。 关于STM32的can总线简单介绍 bxCAN是基本扩展CAN(BasicExtendedCAN)的缩写,它支持CAN协议2.0A和2.0B。它的设计目标是,以最小的CPU负荷来高效处理大量收到的报文。它也支持报文发送的优先级要求(优先级特性可软件配置)。 对于安全紧要的应用,bxCAN提供所有支持时间触发通信模式所需的硬件功能。 主要特点 ·支持CAN协议2.0A和2.0B主动模式 ·波特率最高可达1兆位/秒 ·支持时间触发通信功能 发送 ·3个发送邮箱 ·发送报文的优先级特性可软件配置 ·记录发送SOF时刻的时间戳 接收 ·3级深度的2个接收FIFO ·14个位宽可变的过滤器组-由整个CAN共享 ·标识符列表 ·FIFO溢出处理方式可配置 ·记录接收SOF时刻的时间戳 可支持时间触发通信模式 ·禁止自动重传模式 ·16位自由运行定时器 ·定时器分辨率可配置 ·可在最后2个数据字节发送时间戳 管理 ·中断可屏蔽 ·邮箱占用单独1块地址空间,便于提高软件效率 2、STM32FVBT6的can的工作模式分为 #defineCAN_Mode_Normal((u8)0x00) #defineCAN_Mode_LoopBack((u8)0x01) #defineCAN_Mode_Silent((u8)0x02) #defineCAN_Mode_Silent_LoopBack((u8)0x03) 在此章我们的豆皮教程中我们将使用到CAN_Mode_LoopBack和CAN_Mode_Normal两种模式。我们第一步做的就是使用运行在CAN_Mode_LoopBack下进行自测试。 在参考手册中CAN_Mode_LoopBack(环回模式)的定义如下: 环回模式可用于自测试。为了避免外部的影响,在环回模式下CAN内核忽略确认错误(在数据/远程帧的确认位时刻,不检测是否有显性位)。在环回模式下,bxCAN在内部把Tx输出回馈到Rx 输入上,而完全忽略CANRX引脚的实际状态。发送的报文可以在CANTX引脚上检测到。 因此比较适合我们只有一块豆皮的情况下面测试STM32的CAN部分BSP程序。 3、STM32FVBT6中的can物理引脚脚位可以设置成三种:默认模式,重定义地址1模式,重定义地址2模式。

基于ARM7处理器的CAN总线网络设计

基于ARM7处理器的CAN总线网络设计 1 引言 can(controller area network)即控制器局域网络,最初是由德国bosch公司为解决汽车监控系统中的自动化系统集成而设计的数字信号通信协议,属于总线式串行通信网络。由于can 总线自身的特点,其应用领域由汽车行业扩展到过程控制、机械制造、机器人和楼宇自动化等领域,被公认为最有发展前景的现场总线之一。 can总线系统网络拓扑结构采用总线式结构,其结构简单、成本低,并且采用无源抽头连接,系统可靠性高。本设计在保证系统可靠工作和降低成本的条件下,具有通用性、实时性和可扩展性等持点。 2 系统总体方案设计 整个can网络由上位机(上位机也是网络节点)和各网络节点组成(见图1)。上位机采用工控机或通用计算机,它不仅可以使用普通pc机的丰富软件,而且采用了许多保护措施,保证了安全可靠的运行,工控机特别适合于工业控制环境恶劣条件下的使用。上位机通过can总线适配卡与各网络节点进行信息交换,负责对整个系统进行监控和给下位机发送各种操作控制命令和设定参数。 网络节点由传感器接口、下位机、can控制器和can收发器组成,通过can收发器与总线相连,接收上位机的设置和命令。传感器接口把采集到的现场信号经过网络节点处理后,由can收发器经由can总线与上位机进行数据交换,上位机对传感器检测到的现场信号做进一步分析、处理或存储,完成系统的在线检测,计算机分析与控制。本设计can总线传输介质采用双绞线。 图 1 can总线网络系统结构 3 can总线智能网络节点硬件设计 本文给出以arm7tdmi内核philips公司的lpc2119芯片作为核心构成的智能节点电路设计。该智能节点的电路原理图如图2所示。该智能节点的设计在保证系统可靠工作和降低成本的条件下,具有通用性、实时性和可扩展性等特点,下面分别对电路的各部分做进一步的说明。

相关文档