文档库 最新最全的文档下载
当前位置:文档库 › multisim简介

multisim简介

multisim简介
multisim简介

Multisim 使用简介及电路分析

一、概述

Multisim10界面直观,操作方便,元器件和仪器的图形与实物外形十分接近,且仪器的操作开关、按键也与实物极为相似。作为Multisim仿真软件的最新版本,Multisim10不仅完善了以前版本的基本功能,更增加了许多新的功能,包括:

1.更完备的元器件库。

2.灵活方便的电路图输入工具。

3.虚拟仪器和测试功能。

4.支持MCU(微控制器)仿真。

5.具有PCB文件的转换功能。

Multisim10有很多自身独特的特色,他有所见即所得的设计环境;互动式的仿真界面;动态显示元件;具有3D效果的仿真电路;虚拟仪表;分析功能与图形显示窗口等等。

二、Multisim界面

1、整体界面介绍:

图1 整体界面

Multisim窗口界面主要包括以下几个部分:

菜单栏:

从左到右依次是:文件、编辑、视图、放置、仿真、传输、工具、选项、窗口、帮助。

系统工具栏:,包括新建、打开、保存、剪切、复制等。

设计工具栏:包括器件、编辑器、仪表、仿真等。

元器件库工具栏:

包括电源、基本元件、二极管、晶体管、模拟元件、元器件、总线等。

仪表工具栏:

从左到右分别是:数字万用表、函数发生器、示波器、波特图仪、字信号发生器、逻辑分析仪、瓦特表、逻辑转换仪、失真分析仪、网络分析仪、频谱分析仪

2、菜单栏介绍:

file:管理所创建的电路文件

edit:基本编辑操作命令

View:调整视图窗口

Place:在编辑窗口中放置节点、元器件、总线、输入/输出端、文本、子电路等对象

Simulate:提供仿真的各种设备和方法

Transfer:将所搭电路及分析结果传输给其他应用程序

Tools:用于创建、编辑、复制、删除元件

Options:对程序的运行和界面进行设置

window:与窗口显示方式相关的选项。

3、设计工具栏:

器件按钮缺省显示。当选择该按钮时,器件选择器显示。

器件编辑器按钮,用以调整或增加器件。

仪表按钮,用以给电路添加仪表或观察仿真结果。

仿真按钮,用以开始、暂停或结束仿真。

分析按钮,用以选择要进行的分析。

后分析器按钮,用以进行对仿真结果的进一步操作。

VHDL/V erilog按钮,用以使用VHDL模型进行设计。

报告按钮,用以打印有关电路的报告。

传输按钮,用以与其它程序通讯。

4、元件工具栏:

5、仪器仪表工具栏:

从左到右分别是:数字万用表、函数发生器、示波器、波特图仪、字信号发生器、逻辑分析仪、瓦特表、逻辑转换仪、失真分析仪、网络分析仪、频谱分析仪。

注:电压表和电流表在指示器件库,而不是仪器库中选择。

三、仿真分析方法

Multisim10提供了非常齐全的仿真与分析功能。启动Simulate/Analyses命令,或按钮,即可拉出如图2所示的次菜单,其中包括20个分析命令。从上至下依次为:直流工作点分析、交流分析、瞬态分析、傅立叶分析、噪声分析、失真分析、直流扫描分析、灵敏度分析、参数扫描分析、温度扫描分析、极点-零点分析、传递函数分析、最坏情况分析、蒙特卡罗分析、线宽分析、用户自定义分析、批处理分析、噪声系数分析、RF分析。

下面举例介绍模拟电路分析中常用的几种分析方法。

图2 分析次菜单

1、静态工作点分析(DC Operating Point)

是最基本的电路分析,通常是为了找出电子电路的直流偏压,所以在进行操作点分析时,电路中的交流信号将自动设为0,电路中的电容器视为开路,电感被视为短路,交流电源输出为0,电路处于稳态。直流工作点的分析结果可用于瞬态分析、交流分析和参数扫描分析等。

2、交流分析(AC Analysis)

是分析电路的小信号频率响应,分析的结果是幅频特性和相频特性。在电路中的所有零件将都被考虑,如果有用到数字零件,将被视同是一个接地的大电阻;而交流分析是以正弦波为输入信号,不管我们在电路的输入端输入何种信号,进行分析时都将自动以正弦波替换,而其信号频率也将以设定的范围替换之。当我们要进行交流分析时,可启动Simulate/Analyses/AC Analysis命令。

3、瞬态分析

用于分析电路的时域响应,分析的结果是电路中指定变量与时间函数的关系。在瞬态分析中,系统将直流电源视为常量,交流电源按时间函数输出,电容和电感采用储能模型。

4、噪声分析

噪声分析用于研究噪声对电路性能的影响。Multisim10提供了3种噪声模型:热噪声、散弹噪声和闪烁噪声。噪声分析的结果是每个指定电路元件对指定输出节点的噪声贡献,用噪声谱密度函数表示。

四、电子电路的仿真步骤

主要包括以下步骤:

1、定制界面

根据用户习惯可以定制基本界面,包括以下两个方面:

(1)设定元器件符号标准

通过设置Option菜单中的Preference命令中的Component Bin项来实现。Component Bin项中的Symbol standard 区有两个单选项,其中的ANSI选项设置采用美国标准,而DIN选项设置采用欧洲标准。由于我国电器符号标准与欧洲标准相近,所以可选择DIN(根据经验,除了直流电源采用ANSI 设置外,其它元器件一般可采用DIN设置)。

(2)设定显示节点号

缺省情况下电路中的节点号不显示,可通过设置Option菜单中的Preference命令中的Circuit项来实现,选中该项中Show区的Show node names即可。

2、从元器件库中逐个调用电路所需的元器件

用鼠标左键单击相应的元器件库符号以打开元器件库,然后单击相应的元器件,将元器件拖到

窗口界面中的相应位置。

3、电路连线

用鼠标左键分别单击待连线的两个管脚,即可实现元件之间的连线。另外在连线过程中当需要节点时系统将自动形成节点。

此外,连线的颜色也可以指定,只要指向所要改变颜色的连线上,按鼠标右键,即可拉出快捷菜单,其中的Delete命令可删除该连线;Color命令则是设定该连线的颜色。

4、加入测量仪器

从右边的图符工具栏中将仪器(如示波器)分别拖到画面中的相应位置,并将电路的待测量端分别连接到仪器相应的端口上。

5、仿真

按窗口右上方的开关即可开始电路仿真。

6、仿真结果的保存

静态和动态仿真结果都可以文件方式保存到磁盘中倒出。

(1)静态仿真结果保存

在静态仿真结果对话框中,用鼠标左键点击保存图标,在随后弹出的对话框中选择代保存的文件路径即可。

(2)动态仿真结果保存

在示波器对话框中,用鼠标左键点击保存按钮,在随后弹出的对话框中选择代保存的文件路径即可。

7、文档操作

包括存盘、读文档、打印等,所有文档操作全部集中在File菜单里。

8、屏幕拷贝

仿真电路、仪表显示结果以及仿真结果等也可通过屏幕拷贝来保存成word文件,以便在非Multisim环境下打印。具体方法:在被拷贝环境下按键盘上的Print Screen SysRq按钮,在word等编辑环境中用拷贝功能拷贝后保存即可。

六、Multisim10的电路分析

(1)、电阻电路分析

1、测量节点电压

a)Output variables:主要作用是选择所要分析的节点电压、电源和电感支路电流。b)Miscellaneous Options:用于设置与仿真相关的其它选项。

c)Summary:对分析设置的汇总。

2、动态电路分析

实验一观察下图所示RC电路的零输入响应u c(t),已知u c(0+)=10V。

1)设置电容元的初值

a、所选用的电容为现实电容

b、所选用的电容为虚拟电容

2)设置分析时间:

工程上认为经过4τ~5τ,暂态过程结束,故仿真的时间取0~0.05s 3)结果显示:

实验二已知R=1Ω,L=1H,对比分析在电压源作用下R、L串联电路的电感电流的阶跃响应好冲击响应。

(2)、参数扫描方式(Parameter Sweep )

实验三、三相电路分析

一个三相Y-Y 连接电路,已知电源线电压为380伏,频率为50Hz ,负载为白炽灯,可视为电阻元件,每个电阻值为484Ω。利用Multisim 设计实验完成以下测量:

(1)有中线且负载对称,每相负载均为3个灯泡并联。测量中线电流,以及各相负载电压、电流;

(2)有中线,断开A相负载,B、C相负载为3个灯泡并联,测量中线电流,以及各相负载电压、电流;

(3)无中线,断开A相负载,B、C相负载为3个灯泡并联,测各相负载电压、电流;

(4)有中线但负载不对称,A、B、C三相灯泡数之比为1:2:3,测量中线电流,各相负载电压、电流;

(5)无中线且负载不对称,A、B、C三相灯泡数之比为1:2:3,测各相负载电压、电流,并用两瓦计法测三相功率。

三相对称电源波形图如下:

(1)有中线且负载对称,每相负载均为3个灯泡并联。测量中线电流,以及各相负载电压、电流;

压、电流;

(3)无中线,断开A相负载,B、C相负载为3个灯泡并联,测各相负载电压、电流;

(4)有中线但负载不对称,A、B、C三相灯泡数之比为1:2:3,测量中线电流,各相负载电压、电流;

两瓦计法测三相功率。

(4)、两瓦法测量三相电路的功率

+

175=

=

P

.

375

550.056W

043

.

013

MULTISIM使用介绍

您现在的位置是:仿真平台>仿真软件使用 Multisim 2001 使用简介 Multisim是Interactive Image Technologies (Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。为适应不同的应用场合,Multisim推出了许多版本,用户可以根据自己的需要加以选择。在本书中将以教育版为演示软件,结合教学的实际需要,简要地介绍该软件的概况和使用方法,并给出几个应用实例(样例文件见光盘)。 第一节Multisim概貌 软件以图形界面为主,采用菜单、工具栏和热键相结合的方式,具有一般Windows应用软件的界面风格,用户可以根据自己的习惯和熟悉程度自如使用。 一、Multisim的主窗口界面。 启动Multisim 2001后,将出现如图1所示的界面。

界面由多个区域构成:菜单栏,各种工具栏,电路输入窗口,状态条,列表框等。通过对各部分的操作可以实现电路图的输入、编辑,并根据需要对电路进行相应的观测和分析。用户可以通过菜单或工具栏改变主窗口的视图内容。 二、菜单栏 菜单栏位于界面的上方,通过菜单可以对Multisim的所有功能进行操作。 不难看出菜单中有一些与大多数Windows平台上的应用软件一致的功能选项,如File,Edit,View,Options,Help。此外,还有一些EDA软件专用的选项,如Place,Simulation,Transfer以及Tool等。 1. File File菜单中包含了对文件和项目的基本操作以及打印等命令。

最详细最好的Multisim仿真教程

第13章Multisim模拟电路仿真本章Multisim10电路仿真软件,讲解使用Multisim进行模拟电路仿真的基本方法。 目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image T echnologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。 1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim 经历了多个版本的升级,已经有Multisim2001、Multisim7、Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。

Multisim使用简介

ⅤMultisim 2001 使用简介 Multisim是Interactive Image Technologies (Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。为适应不同的应用场合,Multisim推出了许多版本,用户可以根据自己的需要加以选择。在本书中将以教育版为演示软件,结合教学的实际需要,简要地介绍该软件的概况和使用方法,并给出几个应用实例(样例文件见光盘)。 第一节Multisim概貌 软件以图形界面为主,采用菜单、工具栏和热键相结合的方式,具有一般Windows应用软件的界面风格,用户可以根据自己的习惯和熟悉程度自如使用。 一、Multisim的主窗口界面。 启动Multisim 2001后,将出现如图1所示的界面。 界面由多个区域构成:菜单栏,各种工具栏,电路输入窗口,状态条,列表框等。通过对各部分的操作可以实现电路图的输入、编辑,并根据需要对电路进行相应的观测和分析。用户可以通过菜单或工具栏改变主窗口的视图内容。 二、菜单栏 菜单栏位于界面的上方,通过菜单可以对Multisim的所有功能进行操作。 不难看出菜单中有一些与大多数Windows平台上的应用软件一致的功能选项,如File,Edit,View,Options,Help。此外,还有一些EDA软件专用的选项,如Place,Simulation,Transfer以及Tool等。

1. File File菜单中包含了对文件和项目的基本操作以及打印等命令。 命令功能 New 建立新文件 Open 打开文件 Close 关闭当前文件 Save 保存 Save As 另存为 New Project 建立新项目 Open Project 打开项目 Save Project 保存当前项目 Close Project 关闭项目 Version Control 版本管理 Print Circuit 打印电路 Print Report 打印报表 Print Instrument 打印仪表 Recent Files 最近编辑过的文件 Recent Project 最近编辑过的项目 Exit 退出Multisim 2. Edit Edit命令提供了类似于图形编辑软件的基本编辑功能,用于对电路图进行编辑。命令功能 Undo 撤消编辑 Cut 剪切 Copy 复制 Paste 粘贴 Delete 删除 Select All 全选 Flip Horizontal 将所选的元件左右翻转 Flip Vertical 将所选的元件上下翻转 90 ClockWise 将所选的元件顺时针90度旋转90 ClockWiseCW 将所选的元件逆时针90度旋转

Multisim基础使用方法详解

第2章Multisim9的基本分析方法 主要容 ? 2.1 直流工作点分析(DC Operating Point Analysis ) ? 2.2 交流分析(AC Analysis) ? 2.3 瞬态分析(Transient Analysis) ? 2.4 傅立叶分析(Fourier Analysis) ? 2.5 失真分析(Distortion Analysis) ? 2.6 噪声分析(Noise Analysis) ? 2.7 直流扫描分析(DC Sweep Analysis) ? 2.8 参数扫描分析(Parameter Sweep Analysis) 2.1 直流工作点分析 直流工作点分析也称静态工作点分析,电路的直流分析是在电路中电容开路、电感短路时,计算电路的直流工作点,即在恒定激励条件下求电路的稳态值。 在电路工作时,无论是大信号还是小信号,都必须给半导体器件以正确的偏置,以便使其工作在所需的区域,这就是直流分析要解决的问题。了解电路的直流工作点,才能进一步分析电路在交流信号作用下电路能否正常工作。求解电路的直流工作点在电路分析过程中是至关重要的。 2.1.1构造电路 为了分析电路的交流信号是否能正常放大,必须了解电路的直流工作点设置得是否合理,所以首先应对电路得直流工作点进行分析。在Multisim9工作区构造一个单管放大电路,电路中电源电压、各电阻和电容取值如图所示。

注意:图中的1,2,3,4,5等编号可以从Options---sheet properties—circuit—show all 调试出来。 执行菜单命令(仿真)Simulate/(分析)Analyses,在列出的可操作分析类型中选择DC Operating Point,则出现直流工作点分析对话框,如图A所示。直流工作点分析对话框B。 1. Output 选项 Output用于选定需要分析的节点。 左边Variables in circuit 栏列出电路中各节点电压变量和流过电源的电流变量。右边Selected variables for 栏用于存放需要分析的节点。 具体做法是先在左边Variables in circuit 栏中选中需要分析的变量(可以通过鼠标拖拉进行全选),再单击Add按钮,相应变量则会出现在Selected variables for 栏中。如果Selected variables for 栏中的某个变量不需要分析,则先选中它,然后点击Remove按钮,该变量将会回到左边Variables in circuit 栏中。 2.Analysis Options 和Summary选项表示:分析的参数设置和Summary页中排列了该分析所设置的所有参数和选项。用户通过检查可以确认这些参数的设置。 2.1.3 检查测试结果

Multisim快速入门

Multisim 7的菜单栏 1. File (文件)菜单 File 菜单用于管理电路文件,如打开、存盘、打印和退出等17个文件操作命令。 2. Eidt (编辑)菜单 Edit 菜单用于在电路设计绘制过程中,提供对电路、元件及仪器进行各种处理,如剪切、粘贴、旋转等15种操作命令。其中大多数命令与Windows 应用软件基本相同,这里仅介绍Multisim 7特有的菜单命令。 ?Paste Special…:可以将所复制的电路或元件进行有选择地粘贴,如仅粘贴元件或连线等。 ?Delete Multi-Page::删除多页面电路文件中的某一页电路文件。 ?Select All (快捷键为Ctrl+A ) :选择当前窗口的所有项目。 ?Find (快捷键为Ctrl+F ) :查找电路图中的元件。 ?Flip Horizontal (快捷键为Alt+X ) :使选中的元件水平方向翻转。 ?Flip V ertical (快捷键为Alt+Y ) :使选中的元件垂直方向翻转。 ?90 Clockwise (快捷键为Ctrl+R ) :使选中的元件顺时针旋转900。 ?90 CounterCW (快捷键为Ctrl+Shift+R ) :使选中的元件逆时针旋转900。 ?Properties (快捷键为Ctrl+M ):打开一个已选中的元件属性对话框,对该元件的参数值、标识符等信息进行读取或修改。 3. View (窗口显示)菜单 View 菜单提供13个用于控制仿真界面上显示内容以及电路图缩放的操作命令。 ?Toolbars :显示或隐藏Standard Toolbar (标准工具条)、Component Toolbar (元件工具条)、Graphic Annotation Toolbar (图形注释工具条)、Instruments Toolbar (仪表工具条)、Simulation Switch (仿真开关)、Project Bar (项目栏)、Spreadsheet View (电路元件属性视窗)、Virtual Toolbar (虚拟工具条)、Customize (用户自定义栏)等工具栏。 ?Show Grid :设置是否显示栅格。 ?Show Page Bounds :设置是否显示纸张边界。 ?Show Title Block :设置是否显示标题栏(默认为选中)。 ?Show Border :设置是否显示边界(默认为选中)。 ?Show Ruler Bars :设置是否显示标尺工具条。 ?Zoom In (快捷键F8):放大电路原理图。 文件 窗口显示 帮助 仿真 工具 窗口 图7-4 Multisim 7的主菜单命令 选项

Multisim基础使用方法详解

M u l t i s i m基础使用方 法详解 集团标准化工作小组 #Q8QGGQT-GX8G08Q8-GNQGJ8-MHHGN#

第2章 Multisim9的基本分析方法 主要内容 ?直流工作点分析(DC Operating Point Analysis ) ?交流分析(AC Analysis) ?瞬态分析(Transient Analysis) ?傅立叶分析(Fourier Analysis) ?失真分析(Distortion Analysis) ?噪声分析(Noise Analysis) ?直流扫描分析(DC Sweep Analysis) ?参数扫描分析(Parameter Sweep Analysis) 直流工作点分析 直流工作点分析也称静态工作点分析,电路的直流分析是在电路中电容开路、电感短路时,计算电路的直流工作点,即在恒定激励条件下求电路的稳态值。 在电路工作时,无论是大信号还是小信号,都必须给半导体器件以正确的偏置,以便使其工作在所需的区域,这就是直流分析要解决的问题。了解电路的直流工作点,才能进一步分析电路在交流信号作用下电路能否正常工作。求解电路的直流工作点在电路分析过程中是至关重要的。 2.1.1构造电路

为了分析电路的交流信号是否能正常放大,必须了解电路的直流工作点设置得是否合理,所以首先应对电路得直流工作点进行分析。在Multisim9工作区构造一个单管放大电路,电路中电源电压、各电阻和电容取值如图所示。 注意:图中的1,2,3,4,5等编号可以从Options---sheet properties—circuit—show all 调试出来。 执行菜单命令(仿真)Simulate/(分析)Analyses,在列出的可操作分析类型中选择DC Operating Point,则出现直流工作点分析对话框,如图A所示。直流工作点分析对话框B。 1. Output 选项 Output用于选定需要分析的节点。 左边Variables in circuit 栏内列出电路中各节点电压变量和流过电源的电流变量。右边Selected variables for 栏用于存放需要分析的节点。 具体做法是先在左边Variables in circuit 栏内中选中需要分析的变量(可以通过鼠标拖拉进行全选),再单击Add按钮,相应变量则会出现在Selected variables for 栏中。如果Selected variables for 栏中的某个变量不需要分析,则先选中它,然后点击Remove按钮,该变量将会回到左边Variables in circuit 栏中。 Options 和Summary选项表示:分析的参数设置和Summary页中排列了该分析所设置的所有参数和选项。用户通过检查可以确认这些参数的设置。 2.1.3 检查测试结果 点击B图下部Simulate按钮,测试结果如图所示。测试结果给出电路各个节点的电压值。根据这些电压的大小,可以确定该电路的静态工作点是否合理。如果不合理,可以

(完整版)Multisim10仿真软件简介与使用

Multisim10仿真软件简介与使用 Multisim10.0是加拿大交互图像技术公司推出的最新电子仿真软件,是Multisim系列的改进版。该版使文件管理和操作更方便,元件调用更便捷,元件的标注更加直观实用,增加了仿真的真实感,使虚拟的电子实验平台更加接近实际的实验平台。Multisim10.0是一种在电子技术界广为应用的优秀计算机仿真设计软件,被誉为“计算机里的电子实验室”。 1.Multisim10.0的基本操作界面 Multisim10.0软件以图形界面为主,具有一般Windows应用软件的风格,可以使用户自如使用。启动Multisim10.0后,出现如图3-4-1界面。 仪器仪表栏菜单栏工具栏 仿真电源开关元器件栏 状态栏 电路工作区 图3-4-1 主界面窗口 (1)菜单栏 Multisim10.0的菜单包括主菜单、一级菜单和二级菜单,通过菜单可以对Multisim10.0的所有功能进行操作。如图3-4-2所示。 图3-4-2 主菜单 (2)工具栏 Multisim10.0提供了多种工具栏,如系统工具栏、主工具栏、元件工具栏、仪表工具栏。 ①系统工具栏提供了文档常用的新建文件、打开文件、保存文件、打印、放大、缩 小等操作。如图3-4-3所示。 图3-4-3 系统工具栏 ②元件工具栏提供了从Multisim元件数据库中选择、放置元件到原理图中的按钮。如图3-4-4所示。从左到右元件库依次为电源库、基本元件库、二极管库、晶体管库、模拟元件库、TTL库、CMOS库、其它数字元件库、数模混合元件库、指示器库、电源器件库、混合项元件库、高级的外设器件库、射频元件库、电气元件库、MCU器件库、设置层次库、放置总线库。

Multisim仿真软件的简要介绍资料讲解

Multisim仿真软件的简要介绍 Multisim是In terctive Image Tech no logies公司推出的一个专门用于电子电 路仿真和设计的软件,目前在电路分析、仿真与设计等应用中较为广泛。该软件以图形界面为主,采用菜单栏、工具栏和热键相结合的方式,具有一般Windows 应用软件的界面风格,用户可以根据自己的习惯和熟练程度自如使用。尤其是多种可放置到设计电路中的虚拟仪表,使电路的仿真分析操作更符合工程技术人员的工作习惯。下面主要针对Multisim11.0软件中基本的仿真与分析方法做简单介绍。 EDA就是“ Electronic Design Automation ”的缩写技术已经在电子设计领域得到广泛应用。发达国家目前已经基本上不存在电子产品的手工设计。一台电子产品的设计过程,从概念的确立,到包括电路原理、PCB版图、单片 机程序、机内结构、FPGA的构建及仿真、外观界面、热稳定分析、电磁兼容分析在内的物理级设计再到PCB钻孔图、自动贴片、焊膏漏印、元器件清 单、总装配图等生产所需资料等等全部在计算机上完成。EDA已经成为集成 电路、印制电路板、电子整机系统设计的主要技术手段。 功能: 1. 直观的图形界面 整个操作界面就像一个电子实验工作台,绘制电路所需的元器件和仿真所需的测试仪器均可直接拖放到屏幕上,轻点鼠标可用导线将它们连接起来,软件仪器的控制面板和操作方式都与实物相似,测量数据、波形和特性曲线如同在真实仪器上看到的; 2. 丰富的元器件 提供了世界主流元件提供商的超过17000多种元件,同时能方便的对元 件各种参数进行编辑修改,能利用模型生成器以及代码模式创建模型等功能,创建自己的元器件。 3. 强大的仿真能力 以SPICE3F5和Xspice的内核作为仿真的引擎,通过Electronic workbench带有的增强设计功能将数字和混合模式的仿真性能进行优化。包括SPICE仿真、RF仿真、MCI B真、VHDL仿真、电路向导等功能。 4. 丰富的测试仪器 提供了22种虚拟仪器进行电路动作的测量: Multimeter(万用表)Function Generatoer(函数信号发生器)Wattmeter(瓦特表)Oscilloscope(示波器)Bode Plotter(波特仪)Word Generator(字符发生器Logic Analyzer(逻辑分析仪)Logic Converter(逻 辑转换仪)Distortion Analyer(失真度仪)Spectrum Analyzer(频谱仪)Network Analyzer(网络分析仪)Measurement Pribe(测量探针) Four Channel Oscilloscope(四踪示波器)Frequency Counter(频率计数器)IV An alyzer(伏安特性分析仪)Agile nt Simulated In strume nts(安捷伦仿真 仪器)Agile nt Oscilloscope(安捷伦示波器)Tektro nix Simulated

Multisim14使用multisim12元件库的方法

M u l t i s i m14使用 m u l t i s i m12元件库的 方法 -CAL-FENGHAI.-(YICAI)-Company One1

Multisim14使用multisim12元件库的方法 如题,步骤如下: 1、下载multisim12,multisim14,multisim12库文件。 2、安装multisim14,安装multisim12,安装方法及安装包自己百度 3、打开multisim12,导入multisim12库文件。工具----数据库----数据库管理 器---导入-----选择下载好的数据库,按照提示操作。 4、导入成功后,打开数据库管理器(打开顺序:工具----数据库---数据库管 理器),点击右下角的关于,查找已导入数据库的存放位置。如导入到用户数据,则复制用户数据库地址,如下图,我的存放地址为:C:\Users\Administrator\AppData\Roaming\National Instruments\Circuit Design Suite\\database

5、打开数据库存放位置,可看到当前数据库, usr文件为数据库文件。 6、关闭multisim12,运行multisim14,执行工具----数据库----转换数据库--- 选择v12→v14-----选择源数据库名称

7、打开到multisim12中usr库文件存放位置,即第四步所示地址,右下角 选择所有文件,这是可看到第三步导入的库文件存放文件,选择该文件,点击打开,点击开始,选择自动重命名或覆盖、忽略,点击确定。 8、等待导入结束后,即可使用。 该方法可用于其他版本数据库导入,如multisim10数据库导入multisim12或14等。 另外,也可以下载别人转换好的数据库文件,但是是否可行,有待验证。

最详细最好的multisim仿真教程

最详细最好的multisim仿真教程第13章 Multisim模拟电路仿真 本章Multisim10电路仿真软件,讲解使用Multisim进行模拟电路仿真的基本方法。目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image Technologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。

1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim经历了多个版本的升级,已经有Multisim2001、 Multisim7、 Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。 图13.1-1 Multisim10用户界面 菜单栏与Windows应用程序相似,如图13.1-2所示。

Multisim基本操作

XXXX学院–物理与电子信息工程学院 实验报告 实验班级: 课程名称: 专业实训 实验名称: Multisim基本操作 指导教师: 实验日期: 2017.12.10 姓名: 学号:

实训目的 学会使用Multisim进行基本电路操作 实训内容 一、基本操作 1、菜单栏 菜单栏中有以下常用选择 File中有以下主要文件操作: New新建文件,Open打开文件,Close关闭文件,Close all关闭所有文件,Save保存文件,Save As另存文件,Print打印文件,Print Setup打印设置和Exit退出等。 Edit中常用的编辑操作有:undo撤退,redo前进,cut剪切,copy复制,paste粘贴,这些操作也可以在工具栏内快速选择。 View中常用的操作有:zoom in放大电路,zoom out缩小电路,zoom area以100%的比率来显示电路等。 Place中常用的放置操作有:component放置元器件,bus总线,text放置文字等。这些选择在工具栏内也有快捷选项。 Simulate中常用的仿真操作有:run运行,pause暂停,这些在工具栏内可直接操作。以及analyses仿真方法选择,Instruments 仪表选择,在仪表栏内可快速找到。 Reports中常用的报告操作有:bill of materials电路图使用器件报告,可以获取详细的所需器件列表,方便购买等。 Options中常用的常用的操作有:sheet properties选项中的主

要操作有circuit电路背景设置,workspace纸张大小设置,wiring 电线宽度设置,font字体设置等。 Window中常用的操作有:new window新窗口。 Help中常用的常用的操作有:multisim help可以查找关于软件的一些问题。 其他的像MCU 、Transfer以及Tools我们很少用到。 2、工具栏 主要的操作是元器件的选择,以及一些快捷操作,例如放大(缩小)页面,电路运行以及停止,文字输入(直接在电路工作区输入文字或者在文本描述框输入文字)等等。

multisim仿真说明手册

Multisim7仿真分析命令介绍 1. 直流工作点分析(DC Operating Point Analysis) 直流工作点分析是对电路进行直流分析,分析完毕后给出电路中所有结点的电压和所有直流电压源中的电流。 进行直流工作点分析时,系统会自动假定电路的交流信号为0,且电路中的电容开路,电感短路。 以单管共射放大电路为例介绍如何用直流工作点分析得到电路中部分结点的电压和流过元器件内部结点的电流。 单管共射放大电路 (1)电路结点标注 点击主菜单Options->Preferences,选中circuit页show区中,点击OK按钮返回电路图窗口。

Preferences窗口的Circuit页 (2)仿真方式选择 点击主菜单Simulate->Analysis-> DC Operating Point Analysis。 DC Operating Point Analysis窗口

(3)输出变量选择 Output Variables页用来选定输出分析的变量。 在DC Operating Point Analysis窗口的Output variables页窗口中,左边Variables in circuit区中给出了针对电路中已标注的所有结点,该分析方法能够分析计算的所有变量。可以通过选中需要分析计算的变量点击Add的方法将想要观测的变量添加到右边Select variables for区中,用于软件后台的分析计算。 选择输出变量 其中,$1表示结点1的电压,vv2#branch表示流经电源V2的电流。(4)内部结点添加 有些情况下,元器件有内部结点的存在(如:三极管),若想分析计算元器件内部结点的电流电压参数,可选择左边Variables in circuit区下边的 ,在more options中选择添加元器件模型和想要分析计算的参数。

multisim界面菜单工具栏介绍

multisim界面菜单工具栏介绍: 软件以图形界面为主,采用菜单、工具栏和热键相结合的方式,具有一般Windows应用软件的界面风格,用户可以根据自己的习惯和熟悉程度自如使用。 一、Multisim的主窗口界面。 启动Multisim 2001后,将出现如图1所示的界面。 界面由多个区域构成:菜单栏,各种工具栏,电路输入窗口,状态条,列表框等。通过对各部分的操作可以实现电路图的输入、编辑,并根据需要对电路进行相应的观测和分析。用户可以通过菜单或工具栏改变主窗口的视图内容。 二、菜单栏 菜单栏位于界面的上方,通过菜单可以对Multisim的所有功能进行操作。 不难看出菜单中有一些与大多数Windows平台上的应用软件一致的功能选项,如File,Edit,View,Options,Help。此外,还有一些EDA软件专用的选项,如Place,Simulation,Transfer以及Tool等。 1. File File菜单中包含了对文件和项目的基本操作以及打印等命令。 命令 功能 New 建立新文件 Open 打开文件 Close 关闭当前文件 Save 保存 Save As 另存为 New Project 建立新项目 Open Project 打开项目 Save Project 保存当前项目 Close Project 关闭项目 Version Control 版本管理 Print Circuit 打印电路 Print Report

打印报表 Print Instrument 打印仪表 Recent Files 最近编辑过的文件 Recent Project 最近编辑过的项目 Exit 退出Multisim 2. Edit Edit命令提供了类似于图形编辑软件的基本编辑功能,用于对电路图进行编辑。 命令 功能 Undo 撤消编辑 Cut 剪切 Copy 复制 Paste 粘贴 Delete 删除 Select All 全选 Flip Horizontal 将所选的元件左右翻转 Flip Vertical 将所选的元件上下翻转 90 ClockWise 将所选的元件顺时针90度旋转 90 ClockWiseCW 将所选的元件逆时针90度旋转 Component Properties 元器件属性 3.View 通过View菜单可以决定使用软件时的视图,对一些工具栏和窗口进行控制。 命令 功能 Toolbars

实验1:电路仿真工具Multisim的基本应用

实验一电路仿真工具Multisim的基本应用 一.实验目的 1.学会电路仿真工具Multisim的基本操作。 2.掌握电路图编辑法,用Multisim对电路进行仿真。 二、实验仪器 PC机、Multisim软件 三、实验原理 MultiSim 7 软件是加拿大Electronics Workbench 公司推出的用于电子电路仿真的虚拟电子工作台软件。它可以对模拟电路、数字电路或混合电路进行仿真。该软件的特点是采用直观的图形界面,在计算机屏幕上模仿真实实验室的工作台,用屏幕抓取的方式选用元器件,创建电路,连接测量仪器。软件仪器的控制面板外形和操作方式都与实物相似,可以实时显示测量结果。 1. Multisim 7主窗口 2. 常用Multisim7 设计工具栏 元件编辑器按钮--用以增加元件仿真按钮--用以开始、暂停或结束电路仿真。 分析图表按钮--用于显示分析后的图表结果分析按钮--用以选择要进行的分析。 3.元件工具栏(主窗口左边两列) 其中右边一列绿色的为常用元器件(且为理想模型)。左边一列包含了所有元器件(包括理想模型和类实际元器件模型)。在电路分析实验中常用到的器件组包括以下三个组(主界面左边第二列): 电源组信号源基本器件组

(1)电源(点击电源组) 交流电源直流电源接地 (2)基本信号源 交流电流源交流电压源 (3)基本元器件(点击基本器件组) 电感电位器电阻可变电容电容 4.常用虚拟仪器(主窗口右侧一列) ⑴数字万用表 数字万用表的量程可以自动调整。双击虚拟仪器可进行参数设定。下图是其图标和面板: 其电压、电流档的内阻,电阻档的电流和分贝档的标准电压值都可以任意设置。从打开的面板上选Setting按钮可以设置其参数。 (2)信号发生器 信号发生器可以产生正弦、三角波和方波信号,其图标和面板如下图所示。可调节方波和三角波的占空比。双击虚拟仪器可进行参数设定。 (3)示波器 在Multisim 7中提供了两种示波器:通用双踪示波器和4通道示波器。双击虚拟仪器可进行参数设定。这里仅介绍通用双踪示波器。其图标和面板如下图所示。

multisim元件对照表

Multisim元件库分类介绍 电子仿真软件“Mumsim8.3.30特殊版”的元件库中把元件分门别类地分成13个类别,每个类别中又有许多种具体的元器件,为便于读者在创建仿真电路时寻找元器件,现将电子仿真软件“Mumsim8.3.30特殊版”元件库和元器件的中文译意整理如下,供读者参考。 电子仿真软件Mumsim8.3.30特殊版的元件工具条如图1所示。 图1 1.点击“放置信号源”按钮,弹出对话框中的“系列”栏如图2所示。 图2 (1). 选中“电源(POWER_SOURCES)”,其“元件”栏下内容如图3所示:

图3 (2). 选中“信号电压源(SIGNAL_VOLTAGE_SOURCES)”,其“元件”栏下内容如图4所示: 图4 (3). 选中“信号电流源(SIGNAL_CURRENT_SOURCES)”,其“元件”栏下内容如图5所示: 图5 (4). 选中“控制函数块(CONTROL_FUNCTION_BLOCKS)”,其“元件”栏下内容如图6所示:

(5). 选中“电压控源(CONTROLLED_VOLTAGE_SOURCES)”,其“元件”栏下内容如图7所示: 图7 (6). 选中“电流控源(CONTROLLED_CURRENT_SOURCES)”,其“元件”栏下内容如图8所示: 图8 2. 点击“放置模拟元件”按钮,弹出对话框中“系列”栏如图9 所示。 图9 (1). 选中“模拟虚拟元件(ANALOG_VIRTUAL)”,其“元件”栏中仅有虚拟比较器、三端虚拟运放和五端虚拟运放3个品种可供调用。 (2). 选中“运算放大器(OPAMP)”。其“元件”栏中包括了国外许多公司提供的多达4243种各种规格运放可供调用。 (3). 选中“诺顿运算放大器(OPAMP_NORTON)”,其“元件”栏中有16种规格诺顿运放可供调用。 (4). 选中“比较器(COMPARATOR)”,其“元件”栏中有341种规格比较器可供调用。 (5). 选中“宽带运放(WIDEBAND_AMPS)”其“元件”栏中有144种规格宽带运放可供调用,宽带运放典型值达100MHz,主要用于视频放大电路。 (6). 选中“特殊功能运放(SPECIAL_FUNCTION)”,其“元件”栏中有165种规格特殊功能运放可供调用,主要包括测试运放、视频运放、乘法器/除法器、前置放大器和有源滤波器等。 3.点击“放置基础元件”按钮,弹出对话框中“系列”栏如图10所示。

NI multisim介绍

Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。

NI Multisim软件是一个专门用于电子电路仿真与设计的EDA工具软件。作为 Windows 下运行的个人桌面电子设计工具,NI Multisim 是一个完整的集成化设计环境。NI Multisim计算机仿真与虚拟仪器技术可以很好地解决理论教学与实际动手实验相脱节的这一问题。学员可以很方便地把刚刚学到的理论知识用计算机仿真真实的再现出来,并且可以用虚拟仪器技术创造出真正属于自己的仪表。NI Multisim软件绝对是电子学教学的首选软件工具。 Ø 直观的图形界面 整个操作界面就像一个电子实验工作台,绘制电路所需的元器件和仿真所需的测试仪器均可直接拖放到屏幕上,轻点鼠标可用导线将它们连接起来,软件仪器的控制面板和操作方式都与实物相似,测量数据、波形和特性曲线如同在真实仪器上看到的; Ø 丰富的元器件 提供了世界主流元件提供商的超过17000多种元件,同时能方便的对元件各种参数进行编辑修改,能利用模型生成器以及代码模式创建模型等功能,创建自己的元器件。 Ø 强大的仿真能力 以SPICE3F5和Xspice的内核作为仿真的引擎,通过Electronic workbench 带有的增强设计功能将数字和混合模式的仿真性能进行优化。包括SPICE仿真、RF仿真、MCU仿真、VHDL仿真、电路向导等功能。 Ø 丰富的测试仪器 提供了22种虚拟仪器进行电路动作的测量: Multimeter(万用表) Function Generatoer(函数信号发生器) Wattmeter(瓦特表) Oscilloscope(示波器) Bode Plotter(波特仪) Word Generator(字符发生器 Logic Analyzer(逻辑分析仪) Logic Converter(逻辑转换仪) Distortion Analyer(失真度仪) Spectrum Analyzer(频谱仪) Network Analyzer(网络分析仪) Measurement Pribe(测量探针) Four Channel Oscilloscope(四踪示波器) Frequency Counter(频率计数器) IV Analyzer(伏安特性分析仪) Agilent Simulated Instruments(安捷伦仿真仪器)

multisim10示波器的使用方法

共基极放大器 电子仿真软件MultiSIM 9中的虚拟示波器使用方法 默认分类 2009-04-11 12:59 阅读330 评论0 字号:大中小 在电子仿真软件MultiSIM 9中,除了虚拟双踪示波器和虚拟四踪示波器以外,还有两台高性能的先进示波器,它们分别是:跨国“安捷伦”公司的虚拟示波器“Agilent54622D”和美国“泰克”公司的虚拟数字存贮示波器“TektronixTDS2024”。本刊06年第五期曾对Multisim7中的安捷伦虚拟示波器设置和显示有过简单介绍,读者可以参阅该文相关内容。本文主要介绍安捷伦虚拟示波器的一些特殊其它功能和美国“泰克” 公司的虚拟数字存贮示波器这两台高档次的示波器使用方法。 一、安捷伦虚拟示波器“Agilent54622D”的使用方法举例 Agilent54622D虚拟示波器的带宽为100MHz,具有两个模拟通道和16个逻辑通道。图一是它的放大面板图,它的各个开关、按钮及旋钮的排列和调节都和实物仪器完全一样,我们在自己的电脑里也能享受到使用高档次测量仪器的愉悦,且没有损坏仪器的担忧。

图一 一、显示基本波形操作(这里以模拟通道1为例说明) 首先在电子仿真软件MultiSIM 9电子平台上调出安捷伦虚拟函数信号发生器和安捷伦虚拟示波器各一台。并按图二连好电路;双击安捷伦虚拟函数信号发生器图标“XFG1”打开电源开关,不作任何设置使用它的默认值,即:频率1kHz,幅值100mVpp的正弦波(可参阅上期介绍)。

图二 然后双击安捷伦虚拟示波器图标“XSC1”,打开它的电源开关,见图一中鼠标手指所示。 打开仿真开关,这时可以从安捷伦虚拟示波器屏幕上看到一条水平细红线。在放大面板处于当前窗口的前提下,将鼠标移至“Y轴量程调节”旋钮上呈手指状,或按住鼠标左键向逆时针方向转;或连续点击键盘上的“↑”键都可以逐渐放大正弦波信号幅度,且屏幕上方“Y轴量程调节指示”数字在减小; 将鼠标移至“X轴时间调节”旋钮上呈手指状,或按住鼠标左键向逆时针方向转;或连续点击键盘上的“↑”键都可以使正弦波信号展宽,且屏幕上方“X轴时间量程指示”数字在减小; 将鼠标移至屏幕左下角“波形亮度调节”(也可认为是在调整聚焦)旋钮上呈手指状,或按住鼠标左键向顺时针方向转;或连续点击键盘上的“↓”键都可以逐渐加粗正弦波信号波形; 将鼠标移至屏幕左下角“Y轴移位调节”旋钮上呈手指状,或按住鼠标左键向顺时针方向转;或连续点击键盘上的“↓”键都可以将正弦波向下移动,相当于真实示波器的Y轴移位旋钮; 经以上调整结果,从屏幕上可以看到如图三所示波形,从图上我们通过屏幕上方显示的数据可以读出1kHz正弦波的周期是1mS、幅度为100mV,与安捷伦虚拟函数信号发生器设置相符,波形中心离开X 轴为50mV,屏幕上的波形已被适当加粗。

Multisim使用指导

Multi sim 原理图输入,仿真与可编程逻辑 入门指导

前言 祝贺您选择了Multisim。我们有信心将数年来增加的超级设计 功能交付给您。Electronics Worbench是世界领先的电路设计 工具供应商,我们的用户比其它任何的EDA开发商的用户都多。 所以我们相信,您将对Multisim以及您可能选择的任何其它 的Electronics Workbench产品所带来的价值感到满意。 文件惯例 当涉及到工具按钮时,相应的工具按钮出现在文字的左边。 虽然multisim的电路显示模式是彩色的,但本手册中以黑白 模式显示电路。(您可以将此定制成您喜好的设置) 当您看到这样的图标时,所描述的功能只有特定的版本才有。 用户可以购买相应的附加模块。 Multisim 用Menu/Item表示菜单命令。例如,File/Open表示 在File菜单中选择Open命令。 本手册用箭头( )表示程序信息。 Multisim文件系列 Multisim文件包括“Multisim入门指导”、“User Guide”和 在线帮助。所有的用户都会收到这两本手册的PDF版本。用户 还会收到所购买Multisim版本的印刷版手册。 入门指导 “入门指导”向您介绍Multisim界面,并指导您学习电路设 计(circuit)、仿真(similation)、分析(analysis)和报

告(reporting)。 User Guide “User Guide”详细介绍了Multisim的各项功能,它是基于 电路设计层次进行组织的,详细地描述了Multisim的各个方 面。 在线帮助 Multisim提供在线帮助文件系统以支持您使用,选择 Help/Multisim Manua l可显示详细描述Multisim程序的文件,或者选择Help/Multisim Help显示包含参考资料(来自于印 刷版的附录)的帮助文件,比如对Multisim所提供元器件的 详细介绍。所有的帮助文件窗口都是标准窗口,并提供内容列 表与索引。 Adobe PDF文件 Multisim光盘中提供“Getting Start and Tutorial”和“User Guide”的PDF文件,并且可从Windows的Start菜单进入。 目录 第一章导论

相关文档