文档库 最新最全的文档下载
当前位置:文档库 › 计算机组成原理-半导体存储器原理

计算机组成原理-半导体存储器原理

计算机组成原理-半导体存储器原理
计算机组成原理-半导体存储器原理

南通大学计算机科学与技术学院上机实验报告

课程名称:计算机组成原理实验年级: 2010级上机日期:2010,5,22 姓名:严军墙学号:1013072025 班级:网络工程101 实验名称:半导体存储器原理实验教师:王杰华成绩:

一:目的及要求

目的:

1.熟悉静态随机存储器RAM和只读存储器ROM的工作特性和使用方法;

2.熟悉半导体存储器存储和读出数据的过程;

3.了解使用半导体存储器电路时的定时要求。

要求:

(1)做好实验预习,了解ROM和RAM存储器的功能特性和使用方法。

(2)写出实验报告,内容是:

①实验目的。

②4个实验任务所用的实验电路图。

③4个实验任务的仿真波形,仿真结果的分析方法、分析过程和分析结果。

④实验体会与小结

二:环境(软硬件平台)

软件:Quartus II2.0

硬件:i3 cpu 2g 内存windows操作系统

三:内容及步骤(包括程序流程及说明)

(1).利用Quartus Ⅱ器件库提供的参数化存储单元lpm_rom设计一个由128╳8位的ROM (地址空间:00H~7FH)构成的只读存储器系统。

电路图如下:

(2).利用Quartus Ⅱ器件库提供的参数化存储单元lpm_ram_dq,设计一个由128╳8位的RAM (地址空间:80H~FFH)构成的随机存储器系统。

电路图:

(3).利用Quartus Ⅱ器件库提供的参数化存储单元lpm_ram_io,设计一个由128╳8位的RAM (地址空间:80H~FFH)构成的随机存储器系统。

电路图:

(4).利用Quartus Ⅱ器件库提供的参数化存储单元lpm_rom、lpm_ram_dq或lpm_ram_io设计一个由128╳8位的ROM(地址空间:00H~7FH)和一个由128╳8位的RAM(地址空间:80H~FFH)构成的存储器系统。

在图中添加了74244b,作为缓冲器来缓冲数据,便于数据的输入输出。

四:运行结果

1. lpm_rom的存储(128╳8位的ROM)

(1)利用.mif文件,对ROM的存储单元00H~05H进行初始化。

(2)给定ROM存储区的地址:00H~05H,读ROM存储单元。

答:如图所示,对ROM的数据进行读操作,于.mif中初始化的数据相对应,检验正确。

2. lpm_ram_dq (128╳8位的RAM)

(1)给RAM的存储单元80H~85H写入数据。

(2)给定RAM存储区的地址:80H~85H,读RAM存储单元。

答:如图所示。

前面一半执行写操作,控制端高电平;后面一半执行读操作,控制端低电平。观察地址与之对应的数据,前后相一致,检验正

3. lpm_ram_io(128╳8位的RAM)

(1)给RAM的存储单元80H~85H写入数据。

(2)给定RAM存储区的地址:80H~85H,读RAM存储单元。

答:如图所示。

前面一半执行写操作,控制端高电平;后面一半执行读操作,控制端低电平。观察地址与之对应的数据,前后相一致,检验正确。

4.用lpm_rom、lpm_ram_dq或lpm_ram_io设计一个由128╳8位的ROM(地址空间:00H~7FH)和一个由128╳8位的RAM(地址空间:80H~FFH)构成的存储器系统。

(3)将90H存入06H单元,将11H存入90H单元,请置相关控制信号(注意时序关系)实现下列功能:给定06H,读出数据11H(即,实现间接寻址功能)。

答:①首先验证rom,读05H单元的数据,如图所示:

②再次验证ram,对90H单元存入数据11H,并读11H,如图所示:

③从05H单元读出一个8位数据存入88H单元

先将05H单元的数据读出,寄存到R0上,再输入88H地址,进行读写。如图所示:

④对于给定06H,读出数据11H

先对90H地址存入11H;输入06H,寻到数据90H,再将90H,来寻数据11H。如图所示:

五:问题及心得

1.首先对存储器有个更深的了解,在计算机内部,存储器都并行连接,不过进行读取

的时候进行片选,选择所需的存储块。片选问题值得注意。

2.对总线的数据控制,存在问题;对寄存器的数据寄存,还未掌握,导致任务4中,

未完成从05H单元读出数据存入到88H单元。

3.对于思考题,给定起始地址后,实现自动的读出数据,可以增加一个地址计数器,

控制地址自增,从而读出连续的数据。

计算机组成原理第六章答案

第6章 计算机的运算方法 2. 已知X=0.a1a2a3a4a5a6(ai 为0或1),讨论下列几种情况时ai 各取何值。 (1)2 1 X > (2)8 1X ≥ (3) 16 1 X 41> ≥ 解: (1)若要2 1 X > ,只要a1=1,a2~a6不全为0即可。 (2)若要8 1 X ≥,只要a1~a3不全为0即可。 (3)若要 16 1X 41>≥,只要a1=0,a2可任取0或1; 当a2=0时,若a3=0,则必须a4=1,且a5、a6不全为0; 若a3=1,则a4~a6可任取0或1; 当a2=1时, a3~a6均取0。 3. 设x 为整数,[x]补=1,x1x2x3x4x5,若要求 x < -16,试问 x1~x5 应取何值? 解:若要x < -16,需 x1=0,x2~x5 任意。(注:负数绝对值大的补码码值反而小。) 4. 设机器数字长为8位(含1位符号位在内),写出对应下列各真值的原码、补码和反码。 -13/64,29/128,100,-87 解:真值与不同机器码对应关系如下: 5. 已知[x]补,求[x]原和x 。 [x1]补=1.1100; [x2]补=1.1001; [x3]补=0.1110; [x4]补=1.0000; [x5]补=1,0101; [x6]补=1,1100; [x7]补=0,0111; [x8]补=1,0000; 解:[x]补与[x]原、x 的对应关系如下: 6. 设机器数字长为8位(含1位符号位在内),分整数和小数两种情况讨论真值x 为何值时,[x]补=[x]原成立。 解:当x 为小数时,若x ≥ 0,则 [x]补=[x]原成立; 若x < 0,当x= -1/2时,[x]补=[x]原=1.100 0000,则 [x]补=[x]原成立。 当x 为整数时,若x ≥0,则 [x]补=[x]原成立; 若x< 0,当x= -64时,[x]补=[x]原=1,100 0000,则 [x]补=[x]原成立。 7. 设x 为真值,x*为绝对值,说明[-x*]补=[-x]补能否成立。 解:当x 为真值,x*为绝对值时,[-x*]补=[-x]补不能成立。原因如下: (1)当x<0时,由于[-x*]补是一个负值,而[-x]补是一个正值,因此此时[-x*]补=[-x]补不成立; (2)当x ≥0时,由于-x*=-x ,因此此时 [-x*]补=[-x]补的结论成立。 8. 讨论若[x]补>[y]补,是否有x>y ?

天大2016年12月《计算机组成原理》期末大作业考核要求

计算机组成原理 要求: 1.独立完成,作答时要按照模版信息 ....填写完整,写明题型、题号; 2.作答方式:手写作答或电脑录入,使用学院统一模版(模版详见附件); 3.提交方式:以下两种方式任选其一, 1)手写作答的同学可以将作业以图片形式打包压缩上传; 2)提交电子文档的同学可以将作业以word文档格式上传; 4.上传文件命名为“中心-学号-姓名-科目.rar”或“中心-学号-姓名-科 目.doc”; 5.文件容量大小:不得超过10MB。 请在以下几组题目中,任选一组题目作答,满分100分。 第一组: 一、论述题(20分) 1、简述:一条指令通常由哪些部分组成?简述各部分的功能。 二、分析题(30分) 1、指令格式结构如下所示,使分析指令格式以及寻址方式特点。 15 10 9 5 4 0 1. 有一台磁盘机器,平均寻道时间为30ms,平均旋转等待时间为120ms,数据传输速率为500B/ms,磁盘机桑存放着1000件每件3000B的数据。现欲把一件数据取走,更新后放回原地,假设一次取出或写入所需时间为:平均寻道时间+平均等待时间+数据传送时间。另外,使用CPU更新信息所需时间为4ms,并且更新时间同输入输出操作不相重叠。试问:(20分)(1)更新磁盘上全部数据需要多少时间? (2)若磁盘以及旋转速度和数据传输率都提高一倍,更新全部数据需要多少时间?2、有一个具有20位地址和32位字长的存储器,问:(30分) (1)该存储器能存储多少个字节的信息? (2)如果存储器由512K×8位SRAM芯片组成,需要多少芯片? (3)需要多少位地址作为芯片选择?

第二组: 一、论述题(20分) 1、解释术语:总线周期。 二、分析题(30分) 1、CPU结构图如下图所示,其中有一个累加寄存器AC,各部分之间的连线表示数据通路,剪头表示信息传送方向。 (1)标明图中四个存储器的名称。 (2)简述指令从主存取到控制器的数据通路。 (3)简述数据在运算器和主存之间进行存/取访问的数据通路。 三、计算题(共50分) 1、已知某磁盘存储器转速为2400转/分,每个记录面道数为200道,平均查找时间为60ms,每道存储容量为96Kbit,求磁盘的存取时间与数据传播率。(20分) 2、今有4级流水线分别完成取值、指令译码并取数、运算、送结果四步操作,近假设完成各部操作的时间依次为100ns,100ns,80ns,50ns。请问:(30分) (1)流水线的操作周期应设计为多少? (2)若相邻两条指令发生数据相关,并且在硬件上不采取措施,那么第二条指令推迟多少时间进行? (3)若果在硬件设计上加以改进,至少推迟多少时间?

计算机组成原理课后习题答案解析

作业解答 第一章作业解答 1.1 基本的软件系统包括哪些内容? 答:基本的软件系统包括系统软件与应用软件两大类。 系统软件是一组保证计算机系统高效、正确运行的基础软件,通常作为系统资源提供给用户使用。包括:操作系统、语言处理程序、数据库管理系统、分布式软件系统、网络软件系统、各种服务程序等。 1.2 计算机硬件系统由哪些基本部件组成?它们的主要功能是什么? 答:计算机的硬件系统通常由输入设备、输出设备、运算器、存储器和控制器等五大部件组成。 输入设备的主要功能是将程序和数据以机器所能识别和接受的信息形式输入到计算机内。 输出设备的主要功能是将计算机处理的结果以人们所能接受的信息形式或其它系统所要求的信息形式输出。 存储器的主要功能是存储信息,用于存放程序和数据。 运算器的主要功能是对数据进行加工处理,完成算术运算和逻辑运算。 控制器的主要功能是按事先安排好的解题步骤,控制计算机各个部件有条不紊地自动工作。 1.3 冯·诺依曼计算机的基本思想是什么?什么叫存储程序方式? 答:冯·诺依曼计算机的基本思想包含三个方面: 1) 计算机由输入设备、输出设备、运算器、存储器和控制器五大部件组成。 2) 采用二进制形式表示数据和指令。 3) 采用存储程序方式。 存储程序是指在用计算机解题之前,事先编制好程序,并连同所需的数据预先存入主存储器中。在解题

过程(运行程序)中,由控制器按照事先编好并存入存储器中的程序自动地、连续地从存储器中依次取出指令并执行,直到获得所要求的结果为止。 1.4 早期计算机组织结构有什么特点?现代计算机结构为什么以存储器为中心? 答:早期计算机组织结构的特点是:以运算器为中心的,其它部件都通过运算器完成信息的传递。 随着微电子技术的进步,人们将运算器和控制器两个主要功能部件合二为一,集成到一个芯片里构成了微处理器。同时随着半导体存储器代替磁芯存储器,存储容量成倍地扩大,加上需要计算机处理、加工的信息量与日俱增,以运算器为中心的结构已不能满足计算机发展的需求,甚至会影响计算机的性能。为了适应发展的需要,现代计算机组织结构逐步转变为以存储器为中心。 1.5 什么叫总线?总线的主要特点是什么?采用总线有哪些好处? 答:总线是一组可为多个功能部件共享的公共信息传送线路。 总线的主要特点是共享总线的各个部件可同时接收总线上的信息,但必须分时使用总线发送信息,以保证总线上信息每时每刻都是唯一的、不至于冲突。 使用总线实现部件互连的好处: ①可以减少各个部件之间的连线数量,降低成本; ②便于系统构建、扩充系统性能、便于产品更新换代。 1.6 按其任务分,总线有哪几种类型?它们的主要作用是什么? 答:按总线完成的任务,可把总线分为:CPU内部总线、部件内总线、系统总线、外总线。 1.7 计算机的主要特点是什么? 答:计算机的主要特点有:①能自动连续地工作;②运算速度快;③运算精度高;④具有很强的存储能力

计算机组成原理第八章课后部分答案

计算机组成原理第八章课后部分答案

8.1CPU 有哪些功能?画出其结构框图并简要说明每个部件的作用。 解:CPU的主要功能是执行存放在主存储器中的程序即机器指令.CPU是由 控制器和运算器. ALU:实现算逻运算 寄存器:存放操作数 CU :发出各种操作命令序列的控制部件 中断系统:处理异常情况和特殊请求 8.2什么是指令周期?指令周期是否有一个固定值?为什么?解:指令周 期:指取出并执行完一条指令所需的时间。 由于计算机中各种指令执行所需的时间差异很大,因此为了提高 CPU 运行效率,即使在同步控制的机器中,不同指令的指令周期长 度都是不一致的,也就是说指令周期对于不同的指令来说不是一个 固定值。

8.3画出指令周期的流程图,分别说明图中每个子周期的作用。 解:

指令周期流程图 取指周期:取指令间址周期:取有效地址执行周期:取操作数(当指令为访存指令时)中断周期:保存程序断点 8.4设CPU内有这些部件:PC、IR、SP、AC、MAR、MDR、CU。 (1)画出完成简洁寻址的取数指令“ LDA@”X(将主存某地址单元的内容取至AC中)的数据流(从取指令开始)。 (2)画出中断周期的数据流。解:CPU中的数据通路结构方式有直接连线、单总线、双总线、三总线等形式,目前大多采用总线结构,直接连线方式仅适用于结构特别简单的机器中。 下面采用单总线形式连接各部件,框图如下:

MAR PC Bus CU IR SP AC 线 址 地 MDR 1) 图: MDR→AC 2)中断周期流程图如 下:

SP-1→SP 8.7 什么叫系统的并行性?粗粒度并行和细粒度并行有什么区别?解:并行 性:包含同时性和并发性。同时性指两个或两个以上的事件在同一时刻发生,并发性指两个或多个事件在同一时间段发生。即在同一时刻或同一时间段内完成两个或两个以上性质相同或性质不同的功能,只要在时间上存在 相互重叠,就存在并行性。 粗粒度并行是指多个处理机上分别运行多个进程,由多台处理机合作完成一个程序,一般算法实现。 细粒度并行是指在处理机的指令级和操作级的并行性。 8.8 什么是指令流水?画出指令二级流水和四级流水的示意图,它们中哪一个 更能提高处理器速度,为什么?解:指令流水:指将一条指令的执行过程分为n 个操作时间大致相等的阶段,每个阶段由一个独立的功能部件来完成,这样n 个部件可以同时执行n 条指令的不同阶段,从而大大提高 CPU的吞吐率。 指令二级流水和四级流水示意图如下: (3)CPU 在什么条件、什么时候、以什么方式来响应中断

计算机组成原理第3章习题参考答案

第3章习题参考答案 1、设有一个具有20位地址和32位字长的存储器,问 (1) 该存储器能存储多少字节的信息? (2) 如果存储器由512K ×8位SRAM 芯片组成,需要多少片? (3) 需要多少位地址作芯片选择? 解: (1) 该存储器能存储:字节 4M 8 32220=? (2) 需要 片88 2 322 8 51232 2 19 2020 =??= ??K (3) 用512K ?8位的芯片构成字长为32位的存储器,则需要每4片为一组进行字长的位数扩展,然后再由2组进行存储器容量的扩展。所以只需一位最高位地址进行芯片选择。 2、已知某64位机主存采用半导体存储器,其地址码为26位,若使用4M ×8位的DRAM 芯片组成该机所允许的最大主存空间,并选用内存条结构形式,问; (1) 若每个内存条为16M ×64位,共需几个内存条? (2) 每个内存条内共有多少DRAM 芯片? (3) 主存共需多少DRAM 芯片? CPU 如何选择各内存条? 解: (1) 共需 条464 1664 2 26 =??M 内存条 (2) 每个内存条内共有32 8 46416=??M M 个芯片 (3) 主存共需多少 1288 464648 464 2 26 =??= ??M M M 个RAM 芯片, 共有4个内存条,故CPU 选择内存条用最高两位地址A 24和A 25通过2:4译码器实现;其余的24根 地址线用于内存条内部单元的选择。 3、用16K ×8位的DRAM 芯片构成64K ×32位存储器,要求: (1) 画出该存储器的组成逻辑框图。 (2) 设存储器读/写周期为0.5μS ,CPU 在1μS 内至少要访问一次。试问采用哪种刷新方式比较合理?两次刷新的最大时间间隔是多少?对全部存储单元刷新一遍所需的实际刷新时间是多少? 解: (1) 用16K ×8位的DRAM 芯片构成64K ×32位存储器,需要用 16 448 163264=?=??K K 个芯片,其中每4片为一组构成16K ×32位——进行字长位 数扩展(一组内的4个芯片只有数据信号线不互连——分别接D 0~D 7、D 8~D 15、

哈工大计算机组成大作业完整版

哈工大计算机组成大作业 哈工大计算机组成原理自主实验 计算机组成原理自主实验报告 第四章‐实验1 一个2114 存储芯片的实现 要求:外特性与2114 芯片一致(P77,图4.12),可以设计成为64*64 个存储单元的堆。 A0-A9:地址线 I/O:数据输入输出线 CS:片选信号 R/W:读写信号 VHDL代码: library IEEE;

use IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity shiyan41 is PORT(clk, we, cs,reset: in STD_LOGIC; data: inout STD_LOGIC_VECTOR(3 downto 0); adr: in STD_LOGIC_VECTOR(9 downto 0)); end shiyan41; architecture Behavioral of shiyan41 is typemem is array (63 downto 0) of STD_LOGIC_VECTOR(63 downto 0); signal data_in: STD_LOGIC_VECTOR(3 downto 0); signaldata_out: STD_LOGIC_VECTOR(3 downto 0); signalsram : mem; signalcs_s : std_logic; signalwe_s : std_logic; signaladdr_in_row: std_logic_vector(5 downto 0);

计算机组成原理大作业定稿版

计算机组成原理大作业 HUA system office room 【HUA16H-TTMS2A-HUAS8Q8-HUAH1688】

计算机组成原理 大作业 院(系):物联网工程学院 专业: 计算机科学与技术 班级: 学号: 姓名: 摘要 1.计算机硬件系统:到目前为止,计算机仍沿用由冯.诺依曼首先提出的基于总线的计算机硬件系统。其基本设计思想为: a.以二进制形式表示指令和数据。 b.程序和数据事先存放在存储器中,计算机在工作时能够高速地从存储器中取出指令加以执行。 c.由运算器、控制器、存储器、输入设备和输出设备等五大部件组成计算机硬件系统。 2.计算机软件系统:所谓软件,就是为了管理、维护计算机以及为完成用户的某种特定任务而编写的各种程序的总和。计算机的工作就是运行程序,通过逐条的从存储器中取

出程序中的指令并执行指令所规定的操作而实现某种特定的功能。微型计算机的软件包括系统软件和用户(应用)软件。 关键词:计算机系统硬件存储器控制器运算器软件 目录 摘要 (2) 第一章总体设计 (4) 问题描述 (4) 实验环境 (4) 软件介绍 (4) 模块介绍 (4) 实验目的 (5) 实验内容 (5) 第二章原理图 (6) 第三章管脚分配 (7) 第四章微程序设计 (8) 1. alu_74181 (8)

2. romc (9) 第一章总体设计 问题描述 从两个reg_74244中分别取出两数经过总线,各自分别到达两个寄存器reg_74373,再由两个寄存器到达运算器alu_74181,在运算器里经过运算得出结果,结果再由总线传输进入另外的一个寄存器reg_74373,输出。 实验环境 软件介绍 ISE的全称为Integrated Software Environment,即“集成软件环境”,是Xilinx公司的硬件设计工具。它可以完成FPGA开发的全部流程,包括设计输入、仿真、综合、布局布线、生成BIT文件、配置以及在线调试等,功能非常强大。ISE除了功能完整,使用方便外,它的设计性能也非常好,拿ISE 9.x来说,其设计性能比其他解决方案平均快30%,它集成的时序收敛流程整合了增强性物理综合优化,提供最佳的时钟布局、更好的封装和时序收敛映射,从而获得更高的设计性能。 模块介绍 微程序控制器 微程序控制器是一种控制器,同组合逻辑控制器相比较,具有规整性、灵活性、可维护性等一系列优点,因而在计算机设计中逐渐取代了早期采用的组合逻辑控制器,并已被

计算机组成原理第六章答案上课讲义

计算机组成原理第六 章答案

1. 写出下列各数的原码、反码、补码、移码(用8位二进制表示),其中MSB是最高位(符号位),LSB是最低位。如果是小数,则小数点在MSB之后;如果是整数,则小数点在LSB之后。 (1)-59/64 (2)27/128 (3)- 127/128 (4)用小数表示-1 (5)用整数表示-1 (6)- 127 (7)35 (8)-128 2. 设[x]补=x0.x1x2x3x4,其中x i取0或1,若要使x>-0.5,则x0、x1、x2、x3、x4的取值应满足什么条件? 3. 若32位定点小数的最高位为符号位,用补码表示,则所能表示的最大正数为,最小正数为,最大负数为,最小负数为;若32位定点整数的最高位为符号位,用原码表示,则所能表示的最大正数为,最小正数为,最大负数 为,最小负数为。 4. 若机器字长为32位,在浮点数据表示时阶符占1位,阶码值占7位,数符占1位,尾数值占23位,阶码用移码表示,尾数用原码表示,则该浮点数格式所能表示的最大正数为,最小正数为,最大负数 为,最小负数为。 5. 某机浮点数字长为18位,格式如图2.35所示,已知阶码(含阶符)用补码表示,尾数(含数符)用原码表示。 (1)将(-1027)10表示成规格化浮点数; (2)浮点数(0EF43)16是否是规格化浮点数?它所表示的真值是多少? 图2.35 浮点数的表示格式 6. 有一个字长为32位的浮点数,格式如图2.36所示,已知数符占1位;阶码占8位,用移码表示;尾数值占23位,尾数用补码表示。

图2.36 浮点数的表示格式 请写出: (1)所能表示的最大正数; (2)所能表示的最小负数; (3)规格化数所能表示的数的范围。 7. 若浮点数x的IEEE754标准的32位存储格式为(8FEFC000)16,求其浮点数的十进制数值。 8. 将数(-7.28125)10转换成IEEE754标准的32位浮点数的二进制存储格式。 9. 已知x=-0.x1x2…x n,求证:[x]补=+0.00…01。 10. 已知[x]补=1.x1x2x3x4x5x6,求证:[x]原=+0.000001。 11. 已知x和y,用变形补码计算x+y,同时指出运算结果是否发生溢出。 (1)x=0.11011 y=-0.10101 (2)x=-10110 y=-00011 12. 已知x和y,用变形补码计算x-y,同时指出运算结果是否发生溢出。 (1)x=0.10111 y=0.11011 (2)x=11011 y=-10011 13. 已知[x]补=1.1011000,[y]补=1.0100110,用变形补码计算2[x]补 +1/2[y]补=?,同时指出结果是否发生溢出。 14. 已知x和y,用原码运算规则计算x+y,同时指出运算结果是否发生溢出。 (1)x=0.1011,y=-0.1110 (2)x=-1101,y=-1010

计算机组成原理第三章习题

第三章、内部存储器 1、存储器是计算机系统中的记忆设备,它主要是用来_____ A.存放数据 B.存放程序 C.存放数据和程序 D.存放微程序 2、存储单元是指______ A.存放一个二进制信息位的存储元 B.存放一个机器字的所有存储单元集合 C.存放一个字节的所有存储元集合 D.存放两个字节的所有存储元集合 3、计算机的存储器采用分级存储体系的主要目的是________ A.便于读写数据 B.减小机箱的体积 C.便于系统升级 D.解决存储容量、价格和存取速度之间的矛盾 5、和外存相比,内存的特点是____ A.容量大,速度快,成本低 B.容量大,速度慢,成本高 C.容量小,速度快,成本高 D.容量小,速度快,成本低 6、某单片机字长16位,它的存储容量64KB,若按字编址,那么它的寻址范围是______ A.64K B.32K C.64KB D.32KB 7、某SRAM芯片,其存储容量为64K×16位,该芯片的地址线和数据线数目为_______ A.64,16 B.16,64 C.64,8 D.16,16 8、某DRAM芯片,其存储器容量为512K×8位,该芯片的地址线和数据线数目为 ________ A.8,512 B.512,8 C.18,8 D.19,8 9、某机器字长32位,存储容量256MB,若按字编址,它的寻址范围是_______ A.1M B.512KB C.64M D.256KB 10、某机器字长32位,存储容量4GB,若按字编址,它的寻址范围是_______ A.1G B.4GB C.4G D.1GB 11、某机器字长64位,存储容量4GB,若按字编址,它的寻址范围是_______ A.4G B.2G C.0.5G D.1MB 12、某机器字长32位,存储容量4GB,若按双字编址,它的寻址范围是_______ A.4G B.5G C.8G D.2G 13、某SRAM芯片,其容量为512×8位,包括电源端和接地端,该芯片引出线的数目应为_____ A.23 B.25 C.50 D.19 14、某微型计算机系统,其操作系统保存在硬盘上,其内存储器应该采用__________ A.RAM B.ROM C.RAM 和ROM https://www.wendangku.net/doc/965961984.html,D 15、相联存储是按____进行寻址的存储器。 A.地址指定方式 B.堆栈存取方式 C.内容指定方式 D.地址指定方式与堆栈存取方式结合 16、交叉存储器实质上是一种____存储器,它能_____执行_____独立的读写操作。 A.模块式,并行,多个 B.模块式,串行,多个 C.整体式,并行,一个 D.整体式,串行,多个

[0013]计算机组成原理 答案

- 1 - 西南大学培训与继续教育学院课程考试试题卷 学期:2020年秋季 课程名称【编号】: 计算机组成原理 【0013】 A 卷 考试类别:大作业 满分:100 分 一、大作业题目 1. 已知:x= 0.1011,y = - 0.0101,求 :[ 21x]补,[ 41 x]补,[ - x ]补,[21y]补,[4 1 y]补,[ - y ]补 1. 解: [ x ]补du = 0.1011 , [ y ]补 = 1.1011 [1/2 x ]补 = 0.01011 , [1/2y]补 = 1.11011 [1/4 x ]补 = 0.001011 ,[ 1/4 y ]补 = 1.111011 [ - x ]补 = 1.0101 , [ - y ]补 =0.0101 2.指令有哪些寻址方式?设指令格式如下所示,其中OP 为操作码,试分析指令格式特点。 18 12 11 10 9 5 4 0 OP ---------- 源寄存器 目标寄存器 3.动态存储器为什么要刷新?刷新有哪些方法?完成由2K ×4位芯片构成4K ×8位存储器连接图。 动态MOS 存储单元存储信息的原理,是利用MOS 管栅极电容具有暂时存储信息的作用。但由于漏 电流的存在,栅极电容上存储的电荷不可能长久保持不变,因此为了及时补充漏掉的电荷,避免存储信息丢失,需要定时地给栅极电容补充电荷,通常把这种操作称作刷新或再生。 常用的刷新方式有三种,一种是集中式,另一种是分散式,第三种是异步式。 集中式刷新:在整个刷新间隔内,前一段时间重复进行读/写周期或维持周期,等到需要进行刷新操作时,便暂停读/写或维持周期,而逐行刷新整个存储器,它适用于高速存储器。 分散式刷新:把一个存储系统周期t c 分为两半,周期前半段时间t m 用来读/写操作或维持信息,周期后半段时间t r 作为刷新操作时间。这样,每经过128个系统周期时间,整个存储器便全部刷新一遍。 异步式刷新:前两种方式的结合 芯片数=总容量/容量=4k*8÷2k*4=4片。将每四块分为一组,形成32位的数据宽度,根据该储存容量大小一共需要16位地址线(可以根版据储存容量除以数据宽度来确定)。 将32K*8芯片组成128K*16的只读度器,所以首先位扩展将数据线8扩展到16,即D0~D15,然问后字 扩展32K 是15条地址线,128是17条地址线,所以要答用2/4译码器将地址线15扩展到17,需要用到的芯片是(128/32)*(16/8)=8,连接如图所示!红色为A0~A14的地址总线。 4. 今有4级流水线分别完成取值、指令译码并取数、运算、送结果四步操作,今假设完成各步操作的时间依次为100ns,100ns,80ns,50ns 。请问:(1)流水线的操作周期应设计为多少?(2)若相邻两条加减法指令发生数据相关(ADD :R1,R2,R3 ;R2+R3->R1与SUB :R4,R1,R5;R1-R5->R4),而且在硬件上不采取措施,那么第二条指令要推迟多少时间进行。(3)如果在硬件设计上加以改进,至少需推迟多少时间? 5.什么是中断?什么是多重中断?假定硬件原来的响应顺序为0→1→2,试设置中断屏蔽字,将中断优先级改为1→2→0。(注:中断允许用“0”表示,中断禁示“1”表示)。 二、大作业要求 大作业共需要完成三道题: 第1-2题选作一题,满分35分;

计算机组成原理作业

计算机组成原理作业 1 某机主存容量为4M×16位,且存储字长等于指令字长,若该机指令系统能完成97种操作,操作码位数固定,且具有直接、间接、变址、基址、相对、立即等六种寻址方式。 (1)画出一地址指令格式并指出各字段的作用。 (2)该指令直接寻址的最大范围。 (3)一次间址和多次间址的寻址范围。 (4)立即数的范围(十进制数表示。) (5)相对寻址的位移量(十进制数表示)。 (6)上述六种寻址方式的指令哪一种执行时间最短?哪一种最长?哪一种便于用户编制处理数组问题的程序?哪一种便于程序浮动? 为什么? (7)如何修改指令格式,使指令的直接寻址范围可扩大到4M? (8)为使一条转移指令能转移到主存的任一位置,可采取什么措施?请简要说明。 2 假设某机的指令长度可变(长度在1~4个字节内变化)。而且CPU 与存储器的数据的传送宽度为32位(每次读取32位)。试问如何区分一个存储字包含多少条指令? 3 说明数据在存储器中可以按“边界对准”或“边界不对准”两种方式存放的特点,并分析其利弊。 4 假设指令字长为16位,操作数的地址码位6位,指令有零地址、一地址、二地址、三地址格式。

(1)设操作码固定,若零地址指令有M种,一地址指令有N种,则二地址指令最多有几种? (2)采用扩展操作码技术,二地址指令最多有几种? (3)采用扩展操作码技术,若二地址指令有P条,零地址指令有Q 条,则一地址指令最多有几种? 5 某机器采用一地址格式的指令系统,允许直接和间接寻址。机器配备有如下硬件: ACC、MAB、MDR、PC、X、MQ、IR以及变址寄存器R X和基址寄存器R B,均为16位。 (1)若采用单字长指令,共能完成105种操作,则指令可直接寻址的范围是多少?一次间址的寻址范围是多少?画出其指令格式并说明个字段的含义。 (2)若采用双字长指令,操作码位数及寻址方式不变,则指令可直接寻址的范围是多少?画出其指令格式并说明个字段的含义。(3)若存储字长不变,可采用什么方法访问容量为8MB的主存?需增设哪些硬件? 6 设相对寻址的转移指令占两个字节,第一个字节是操作码,第二个字节是相对位移量,用补码表示。每当CPU从存储器取出一个字节时,即自动完成(PC)+1—>PC。 (1)设当前PC值为3000H,试问转移后的目标地址范围是什么?(2)设当前PC值为2000H,要求转移到201BH,则转移指令的第二字节的内容是什么?

计算机组成原理第六章答案54731培训资料

计算机组成原理第六章答案54731

第6章 计算机的运算方法 2. 已知X=0.a1a2a3a4a5a6(ai 为0或1),讨论下列几种情况时ai 各取何值。 (1)21X > (2)8 1X ≥ (3) 16 1X 41>≥ 解: (1)若要2 1 X > ,只要a1=1,a2~a6不全为0即可。 (2)若要8 1 X ≥,只要a1~a3不全为0即可。 (3)若要 16 1X 41>≥,只要a1=0,a2可任取0或1; 当a2=0时,若a3=0,则必须a4=1,且a5、a6不全为0; 若a3=1,则a4~a6可任取0或1; 当a2=1时, a3~a6均取0。 3. 设x 为整数,[x]补=1,x1x2x3x4x5,若要求 x < -16,试问 x1~x5 应取何值? 解:若要x < -16,需 x1=0,x2~x5 任意。(注:负数绝对值大的补码码值反而小。) 4. 设机器数字长为8位(含1位符号位在内),写出对应下列各真值的原码、补码和反码。 -13/64,29/128,100,-87 解:真值与不同机器码对应关系如下: 5. 已知[x]补,求[x]原和x 。 [x1]补=1.1100; [x2]补=1.1001; [x3]补=0.1110; [x4]补=1.0000; [x5]补=1,0101; [x6]补=1,1100; [x7]补=0,0111; [x8]补=1,0000; 解:[x]补与[x]原、x 的对应关系如下: 6. 设机器数字长为8位(含1位符号位在内),分整数和小数两种情况讨论真值x 为何值时,[x]补=[x]原成立。 解:当x 为小数时,若x ≥ 0,则 [x]补=[x]原成立; 若x < 0,当x= -1/2时,[x]补=[x]原=1.100 0000,则 [x]补=[x]原成立。 当x 为整数时,若x ≥0,则 [x]补=[x]原成立; 若x< 0,当x= -64时,[x]补=[x]原=1,100 0000,则 [x]补=[x]原成立。 7. 设x 为真值,x*为绝对值,说明[-x*]补=[-x]补能否成立。 解:当x 为真值,x*为绝对值时,[-x*]补=[-x]补不能成立。原因如下: (1)当x<0时,由于[-x*]补是一个负值,而[-x]补是一个正值,因此此时[-x*]补=[-x]补不成立; (2)当x ≥0时,由于-x*=-x ,因此此时 [-x*]补=[-x]补的结论成立。 8. 讨论若[x]补>[y]补,是否有x>y ? 解:若[x]补>[y]补,不一定有x>y 。 [x]补 > [y]补时 x > y 的结论只在 x > 0且y > 0,及 x<0且y<0时成立。

计算机组成原理作业~第三章

一、选择题 1、EPROM是指___D___。 A. 读写存储器 B. 只读存储器 C. 可编程的只读存储器 D. 光擦除可编程的只读存储器 2、计算机系统中的存贮器系统是指__D__。 A RAM存贮器 B ROM存贮器 C 主存贮器 D cache、主存贮器和外存贮器 3、存储单元是指__B__。 A 存放一个二进制信息位的存贮元 B 存放一个机器字的所有存贮元集合 C 存放一个字节的所有存贮元集合 D 存放两个字节的所有存贮元集合; 4、相联存贮器是按__C_进行寻址的存贮器。 A 地址方式 B 堆栈方式 C 内容指定方式 D 地址方式与堆栈方式 5、存储器是计算机系统的记忆设备,主要用于___D___。 A.存放程序 B.存放软件 C.存放微程序 D.存放程序和数据 6、外存储器与内存储器相比,外存储器___B___。 A.速度快,容量大,成本高 B.速度慢,容量大,成本低 C.速度快,容量小,成本高 D.速度慢,容量大,成本高 7、一个256K×8的存储器,其地址线和数据线总和为___C___。 A.16 B.18 C.26 D.20 8、某SRAM芯片,存储容量为64K×16位,该芯片的地址线和数据线数目为__D__。 A 64,16 B 16,64 C 64,8 D 16,16 。 9、交叉存贮器实质上是一种__A__存贮器,它能_____执行______独立的读写操作。 A 模块式,并行,多个 B 模块式串行,多个 C 整体式,并行,一个 D 整体式,串行,多个 10、存储器是计算机系统中的记忆设备,它主要用来__C___。 A. 存放数据 B. 存放程序 C. 存放数据和程序 D. 存放微程序 11、某计算机的字长16位,它的存储容量是64KB,若按字编址,那么它的寻址范围是 ___B___。 A. 64K B.32K C. 64KB D. 32KB 12、存储单元是指__A__。 A.存放一个机器字的所有存储元 B.存放一个二进制信息位的存储元 C.存放一个字节的所有存储元的集合 D.存放两个字节的所有存储元的集合13、机器字长32位,其存储容量为4MB,若按字编址,它的寻址范围是_A__。 A. 1M B. 1MB C. 4M D. 4MB

计算机组成原理_在线作业_2

计算机组成原理_在线作业_2 交卷时间:2016-06-11 14:27:15 一、单选题 1. (5分) 用于对某个寄存器中操作数的寻址方式称为()寻址。 ? A. 间接 ? B. 寄存器直接 ? C. 寄存器间接 ? D. 直接 纠错 得分:5 知识点:4.4 典型指令 展开解析 答案B 解析 2. (5分) 程序查询方式的接口电路中应该包括()。 ? A. 设备选择电路、设备控制电路、设备状态标志 ? B. 设备选择电路、数据缓冲寄存器、设备控制电路

? C. 设备选择电路、数据缓冲寄存器、设备状态标志 ? D. 设备控制电路、数据缓冲寄存器、设备状态标志 纠错 得分:0 知识点:8.2 程序查询方式 展开解析 答案C 解析 3. (5分) 1946年研制成功的第一台电子数字计算机称为(),1949年研制成功的第一台程序内存的计算机称为()。 ? A. ENIAC , UNIVACI ? B. EDVAC ,MARKI ? C. ENIAC , MARKI ? D. ENIAC , EDSAC 纠错 得分:5 知识点:1.1 计算机发展概述 展开解析 答案D 解析 4. (5分) 以下四种类型的半导体存储器中,以传输同样多的字为比较条件,则读出数据传输

率最高的是()。 ? A. 闪速存储器 ? B. SRAM ? C. EPROM ? D. DRAM 纠错 得分:5 知识点:3.4 高速存储器 展开解析 答案A 解析 5. (5分) 一个存储单元是指能够存放()的所有存储单元的集合。 ? A. 两个字节 ? B. 一个字节 ? C. 一个二进制信息位 ? D. 一个机器字 纠错 得分:5 知识点:1.3 计算机的基本组成 展开解析 答案D 解析 6.

《计算机组成原理》第6章习题答案

第 6 章习题答案 1 .控制器有哪几种控制方式?各有何特点? 解:控制器的控制方式可以分为3 种:同步控制方式、异步控制方式和联合控方式。同步控制方式的各项操作都由统一的时序信号控制,在每个机器周期中产生统一目的节拍电位和工作脉冲。这种控制方式设计简单,容易实现;但是对于许多简单指令说会有较多的空闲时间,造成较大数量的时间浪费,从而影响了指令的执行速度。 异步控制方式的各项操作不采用统一的时序信号控制,而根据指令或部件的具体况决定,需要多少时间,就占用多少时间。异步控制方式没有时间上的浪费,因而提高机器的效率,但是控制比较复杂。 联合控制方式是同步控制和异步控制相结合的方式。 2.什么是三级时序系统? 解:三级时序系统是指机器周期、节拍和工作脉冲。计算机中每个指令周期划分若干个机器周期,每个机器周期划分为若干个节拍,每个节拍中设置一个或几个工脉冲。3.控制器有哪些基本功能?它可分为哪几类?分类的依据是什么? 解:控制器的基本功能有: (1) 从主存中取出一条指令,并指出下一条指令在主存中的位置。 (2) 对指令进行译码或测试,产生相应的操作控制信号,以便启动规定的动作。 (3) 指挥并控制CPU主存和输入输出设备之间的数据流动。 控制器可分为组合逻辑型、存储逻辑型、组合逻辑与存储逻辑结合型 3 类,分类的依据在于控制器的核心一一微操作信号发生器(控制单元CU)的实现方法不同。 4 .中央处理器有哪些功能?它由哪些基本部件所组成? 解:从程序运行的角度来看,CPU的基本功能就是对指令流和数据流在时间与空间上实施正确的控制。对于冯?诺依曼结构的计算机而言,数据流是根据指令流的操作而形成的,也就是说数据流是由指令流来驱动的。 中央处理器由运算器和控制器组成。 5 .中央处理器中有哪几个主要寄存器?试说明它们的结构和功能。 解:CPU中的寄存器是用来暂时保存运算和控制过程中的中间结果、最终结果及控制、状态信息的,它可分为通用寄存器和专用寄存器两大类。 通用寄存器可用来存放原始数据和运算结果,有的还可以作为变址寄存器、计数器、地址指针等。专用寄存器是专门用来完成某一种特殊功能的寄存器,如程序计数器PC指令 寄存器IR、存储器地址寄存器MAR存储器数据寄存器MDR状态标志寄存器PSWF等。 15 、什么是微命令和微操作?什么是微指令?微程序和机器指令有何关系?微程序和程序之间有何关系? 解:微命令是控制计算机各部件完成某个基本微操作的命令。微操作是指计算机中最基本的、不可再分解的操作。微命令和微操作是一一对应的,微命令是微操作的控制信号,微操作是微命令的操作过程。 微指令是若干个微命令的集合。微程序是机器指令的实时解释器,每一条机器指令都对应一个微程序。 微程序和程序是两个不同的概念。微程序是由微指令组成的,用于描述机器指令,实际上是机器指令的实时解释器,微程序是由计算机的设计者事先编制好并存放在控制储器中的,一般不提供给用户;程序是由机器指令组成的,由程序员事先编制好并存放在主存储器中。 16.什么是垂直型微指令?什么是水平型微指令?它们各有什么特点? 解:垂直型微指令是指一次只能执行一个微命令的微指令;水平型微指令是指一次能定义并能

《计算机组成原理》第3章习题答案

第3章习题解答 1.指令长度和机器字长有什么关系?半字长指令、单字长指令、双字长指令分别表示什么意思? 解:指令长度与机器字长没有固定的关系,指令长度可以等于机器字长,也可以大于或小于机器字长。通常,把指令长度等于机器字长的指令称为单字长指令;指令长度等于半个机器字长的指令称为半字长指令;指令长度等于两个机器字长的指令称为双字长指令。 2.零地址指令的操作数来自哪里?一地址指令中,另一个操作数的地址通常可采用什么寻址方式获得?各举一例说明。 解:双操作数的零地址指令的操作数来自堆栈的栈顶和次栈顶。双操作数的一地址指令的另一个操作数通常可采用隐含寻址方式获得,即将另一操作数预先存放在累加器中。例如,前述零地址和一地址的加法指令。 3.某机为定长指令字结构,指令长度16位;每个操作数的地址码长6位,指令分为无操作数、单操作数和双操作数三类。若双操作数指令已有K种,无操作数指令已有L种,问单操作数指令最多可能有多少种?上述三类指令各自允许的最大指令条数是多少? 解:X= (24一K)×26一[L/26] 双操作数指令的最大指令数:24一1。 单操作数指令的最大指令数:15×26一l(假设双操作数指令仅1条,为无操作数指令留出1个扩展窗口)。 无操作数指令的最大指令数:216一212一26。其中212为表示某条二地址指令占用的编码数,26为表示某条单地址指令占用的编码数。此时双操作数和单操作数指令各仅有1条。 4.设某机为定长指令字结构,指令长度12位,每个地址码占3位,试提出一种分配方案,使该指令系统包含:4条三地址指令,8条二地址指令,180条单地址指令。 解:4条三地址指令 000 XXX YYY ZZZ . . 011 XXX YYY ZZZ 8条二地址指令 100 000 XXX YYY . . 100 111 XXX YYY 180条单地址指令 101 000 000 XXX . . 111 110 011 XXX 5.指令格式同上题,能否构成: 三地址指令4条,单地址指令255条,零地址指令64条?为什么? 解:三地址指令4条 000 XXX YYY ZZZ

计算机组成原理作业讲解1-4章 答案

1.1 概述数字计算机的发展经过了哪几个代?各代的基本特征是什么? 略。 1.2 你学习计算机知识后,准备做哪方面的应用? 略。 1.3 试举一个你所熟悉的计算机应用例子。 略。 1.4 计算机通常有哪些分类方法?你比较了解的有哪些类型的计算机? 略。 1.5 计算机硬件系统的主要指标有哪些? 答:机器字长、存储容量、运算速度、可配置外设等。 答:计算机硬件系统的主要指标有:机器字长、存储容量、运算速度等。 1.6 什么是机器字长?它对计算机性能有哪些影响? 答:指CPU一次能处理的数据位数。它影响着计算机的运算速度,硬件成本、指令系统功能,数据处理精度等。 1.7 什么是存储容量?什么是主存?什么是辅存? 答:存储容量指的是存储器可以存放数据的数量(如字节数)。它包括主存容量和辅存容量。 主存指的是CPU能够通过地址线直接访问的存储器。如内存等。 辅存指的是CPU不能直接访问,必须通过I/O接口和地址变换等方法才能访问的存储器,如硬盘,u盘等。 1.8 根据下列题目的描述,找出最匹配的词或短语,每个词或短语只能使用一次。(1)为个人使用而设计的计算机,通常有图形显示器、键盘和鼠标。 (2)计算机中的核心部件,它执行程序中的指令。它具有加法、测试和控制其他部件的功能。 (3)计算机的一个组成部分,运行态的程序和相关数据置于其中。 (4)处理器中根据程序的指令指示运算器、存储器和I/O设备做什么的部件。 (5)嵌入在其他设备中的计算机,运行设计好的应用程序实现相应功能。 (6)在一个芯片中集成几十万到上百万个晶体管的工艺。 (7)管理计算机中的资源以便程序在其中运行的程序。 (8)将高级语言翻译成机器语言的程序。 (9)将指令从助记符号的形式翻译成二进制码的程序。 (10)计算机硬件与其底层软件的特定连接纽带。 供选择的词或短语: 1、汇编器 2、嵌入式系统 3、中央处理器(CPU) 4、编译器 5、操作系统 6、控制器 7、机器指令 8、台式机或个人计算机 9、主存储器10、VLSI 答:(1)8,(2)3,(3)9,(4)6,(5)2, (6)10,(7)5,(8)4,(9)1,(10)7 计算机系统有哪些部分组成?硬件由哪些构成? 答:计算机系统硬件系统和软件系统组成。 硬件由控制器、存储器、运算器、输入设备和输出设备五大部件构成 1.9 冯·诺伊曼V on Neumann计算机的主要设计思想是什么? 略。 1.10 计算机硬件有哪些部件,各部件的作用是什么?

相关文档
相关文档 最新文档