文档库 最新最全的文档下载
当前位置:文档库 › 半导体FAB里基本的常识简介

半导体FAB里基本的常识简介

半导体FAB里基本的常识简介
半导体FAB里基本的常识简介

CVD

晶圆制造厂非常昂贵的原因之一,是需要一个无尘室,为何需要无尘室

答:由于微小的粒子就能引起电子组件与电路的缺陷

何谓半导体?

答:半导体材料的电传特性介于良导体如金属(铜、铝,以及钨等)和绝缘和橡胶、塑料与干木头之间。最常用的半导体材料是硅及锗。半导体最重要的性质之一就是能够藉由一种叫做掺杂的步骤刻意加入某种杂质并应用电场来控制其之导电性。

常用的半导体材料为何

答:硅(Si)、锗(Ge)和砷化家(AsGa)

何谓VLSI

答:VLSI(Very Large Scale Integration)超大规模集成电路

在半导体工业中,作为绝缘层材料通常称什幺

答:介电质(Dielectric)

薄膜区机台主要的功能为何

答:沉积介电质层及金属层

何谓CVD(Chemical Vapor Dep.)

答:CVD是一种利用气态的化学源材料在晶圆表面产生化学沉积的制程CVD分那几种?

答:PE-CVD(电浆增强型)及Thermal-CVD(热耦式)

为什幺要用铝铜(AlCu)合金作导线?

答:良好的导体仅次于铜

介电材料的作用为何?

答:做为金属层之间的隔离

何谓PMD(Pre-Metal Dielectric)

答:称为金属沉积前的介电质层,其界于多晶硅与第一个金属层的介电质何谓IMD(Inter-Metal Dielectric)

答:金属层间介电质层。

何谓USG?

答:未掺杂的硅玻璃(Undoped Silicate Glass)

何谓FSG?

答:掺杂氟的硅玻璃(Fluorinated Silicate Glass)

何谓BPSG?

答:掺杂硼磷的硅玻璃(Borophosphosilicate glass)

何谓TEOS?

答:Tetraethoxysilane用途为沉积二氧化硅

TEOS在常温时是以何种形态存在?

答:液体

二氧化硅其K值为表示何义

答:表示二氧化硅的介电质常数为真空的倍

氟在CVD的工艺上,有何应用

答:作为清洁反应室(Chamber)用之化学气体

简述Endpoint detector之作用原理.

答:clean制程时,利用生成物或反应物浓度的变化,因其特定波长光线被detector 侦测到强度变强或变弱,当超过某一设定强度时,即定义制程结束而该点为endpoint.

机台使用的管件材料主要有那些?

答:有不锈钢制(Stainless Steal),黄铜制(Brass),塑胶制(PVC),特氟隆制(Teflon)四种.

机器维修时要放置停机维修告示牌目的为何?

答:告知所有的人勿操作机台,避免危险

机台维修至少两人配合,有何目的?

答:帮忙拆卸重物,并随时警戒可能的意外发生

更换过任何气体管路上的零件之后,一定要做何动作?

答:用氦气测漏机来做测漏

维修尚未降至室温之反应室(Chamber),应配带何种手套

答:石棉材质之防热手套并宜在80摄式度下始可动作

何为真空(Vacuum)?半导体业常用真空单位是什幺?

答:半导体业通常用Torr作为真空的压力单位,一大气压相当760Torr,低于760Torr压力的环境称为真空.

真空Pump的作用?

答:降低反应室(Chamber)内的气体密度和压力

何谓内部连锁(Interlock)

答:机台上interlock有些属于保护操作人员的安全,有些属于水电气等规格讯号,用以保护机台.

机台设定许多interlock有何作用?

答:机台上interlock主要避免人员操作错误及防止不相关人员动作. Wafer Scrubber的功能为何?

答:移除芯片表面的污染粒子

ETCH

何谓蚀刻(Etch)?

答:将形成在晶圆表面上的薄膜全部,或特定处所去除至必要厚度的制程。蚀刻种类:

答:(1) 干蚀刻(2) 湿蚀刻

蚀刻对象依薄膜种类可分为:

答:poly,oxide, metal

半导体中一般金属导线材质为何?

答:鵭线(W)/铝线(Al)/铜线(Cu)

何谓 dielectric 蚀刻(介电质蚀刻)?

答:Oxide etch and nitride etch

半导体中一般介电质材质为何?

答:氧化硅/氮化硅

何谓湿式蚀刻

答:利用液相的酸液或溶剂;将不要的薄膜去除

何谓电浆 Plasma?

答:电浆是物质的第四状态.带有正,负电荷及中性粒子之总和;其中包含电子,正离子,负离子,中性分子,活性基及发散光子等,产生电浆的方法可使用高温或高电压.

何谓干式蚀刻?

答:利用plasma将不要的薄膜去除

何谓Under-etching(蚀刻不足)?

答:系指被蚀刻材料,在被蚀刻途中停止造成应被去除的薄膜仍有残留

何谓Over-etching(过蚀刻 )

答:蚀刻过多造成底层被破坏

何谓Etch rate(蚀刻速率)

答:单位时间内可去除的蚀刻材料厚度或深度

何谓Seasoning(陈化处理)

答:是在蚀刻室的清净或更换零件后,为要稳定制程条件,使用仿真(dummy)晶圆进行数次的蚀刻循环。

Asher的主要用途:

答:光阻去除

Wet bench dryer 功用为何?

答:将晶圆表面的水份去除

列举目前Wet bench dry方法:

答:(1) Spin Dryer (2) Marangoni dry (3) IPA Vapor Dry

何谓 Spin Dryer

答:利用离心力将晶圆表面的水份去除

何谓 Maragoni Dryer

答:利用表面张力将晶圆表面的水份去除

何谓 IPA Vapor Dryer

答:利用IPA(异丙醇)和水共溶原理将晶圆表面的水份去除

测Particle时,使用何种测量仪器?

答:Tencor Surfscan

测蚀刻速率时,使用何者量测仪器?

答:膜厚计,测量膜厚差值

何谓 AEI

答:After Etching Inspection 蚀刻后的检查

AEI目检Wafer须检查哪些项目:

答:(1) 正面颜色是否异常及刮伤 (2) 有无缺角及Particle (3)刻号是否正确

金属蚀刻机台转非金属蚀刻机台时应如何处理?

答:清机防止金属污染问题

金属蚀刻机台Asher的功用为何?

答:去光阻及防止腐蚀

金属蚀刻后为何不可使用一般硫酸槽进行清洗?

答:因为金属线会溶于硫酸中

"Hot Plate"机台是什幺用途?

答:烘烤

Hot Plate 烘烤温度为何?

答:90~120 度C

何种气体为Poly ETCH主要使用气体?

答:Cl2, HBr, HCl

用于Al 金属蚀刻的主要气体为

答:Cl2, BCl3

用于W金属蚀刻的主要气体为

答:SF6

何种气体为oxide vai/contact ETCH主要使用气体? 答:C4F8, C5F8, C4F6

硫酸槽的化学成份为:

答:H2SO4/H2O2

AMP槽的化学成份为:

答:NH4OH/H2O2/H2O

UV curing 是什幺用途?

答:利用UV光对光阻进行预处理以加强光阻的强度

"UV curing"用于何种层次?

答:金属层

何谓EMO?

答:机台紧急开关

EMO作用为何?

答:当机台有危险发生之顾虑或已不可控制,可紧急按下

湿式蚀刻门上贴有那些警示标示?

答:(1) 警告.内部有严重危险.严禁打开此门 (2) 机械手臂危险. 严禁打开此门 (3) 化学药剂危险. 严禁打开此门

遇化学溶液泄漏时应如何处置?

答:严禁以手去测试漏出之液体. 应以酸碱试纸测试. 并寻找泄漏管路.

遇 IPA 槽着火时应如何处置??

答:立即关闭IPA 输送管路并以机台之灭火器灭火及通知紧急应变小组

BOE槽之主成份为何?

答:HF(氢氟酸)与NH4F(氟化铵).

BOE为那三个英文字缩写 ?

答:Buffered Oxide Etcher 。

有毒气体之阀柜(VMB)功用为何?

答:当有毒气体外泄时可利用抽气装置抽走,并防止有毒气体漏出

电浆的频率一般 MHz,为何不用其它频率?

答:为避免影响通讯品质,目前只开放特定频率,作为产生电浆之用,如380~420KHz ,,等

何谓ESC(electrical static chuck)

答:利用静电吸附的原理, 将 Wafer 固定在极板 (Substrate) 上

Asher主要气体为

答:O2

Asher机台进行蚀刻最关键之参数为何?

答:温度

简述TURBO PUMP 原理

答:利用涡轮原理,可将压力抽至10-6TORR

热交换器(HEAT EXCHANGER)之功用为何?

答:将热能经由介媒传输,以达到温度控制之目地

简述BACKSIDE HELIUM COOLING之原理?

答:藉由氦气之良好之热传导特性,能将芯片上之温度均匀化ORIENTER 之用途为何?

答:搜寻notch边,使芯片进反应腔的位置都固定,可追踪问题

简述EPD之功用

答:侦测蚀刻终点;End point detector利用波长侦测蚀刻终点

何谓MFC?

答:mass flow controler气体流量控制器;用于控制反应气体的流量GDP 为何?

答:气体分配盘(gas distribution plate)

GDP 有何作用?

答:均匀地将气体分布于芯片上方

何谓 isotropic etch?

答:等向性蚀刻;侧壁侧向蚀刻的机率均等

何谓 anisotropic etch?

答:非等向性蚀刻;侧壁侧向蚀刻的机率少

何谓 etch 选择比?

答:不同材质之蚀刻率比值

何谓AEI CD?

答:蚀刻后特定图形尺寸之大小,特征尺寸(Critical Dimension)

何谓CD bias?

答:蚀刻CD减蚀刻前黄光CD

简述何谓田口式实验计划法?

答:利用混合变因安排辅以统计归纳分析

何谓反射功率?

答:蚀刻过程中,所施予之功率并不会完全地被反应腔内接收端所接受,会有部份值反射掉,此反射之量,称为反射功率

Load Lock 之功能为何?

答:Wafers经由loadlock后再进出反应腔,确保反应腔维持在真空下不受粉尘及湿度的影响.

厂务供气系统中何谓 Bulk Gas ?

答:Bulk Gas 为大气中普遍存在之制程气体, 如 N2, O2, Ar 等.

厂务供气系统中何谓Inert Gas?

答:Inert Gas 为一些特殊无强烈毒性的气体, 如 NH3, CF4, CHF3, SF6 等. 厂务供气系统中何谓Toxic Gas ?

答:Toxic Gas 为具有强烈危害人体的毒性气体, 如 SiH4, Cl2, BCl3 等.

机台维修时,异常告示排及机台控制权应如何处理?

答:将告示牌切至异常且将机台控制权移至维修区以防有人误动作

冷却器的冷却液为何功用 ?

答:传导热

Etch之废气有经何种方式处理 ?

答:利用水循环将废气溶解之后排放至废酸槽

何谓RPM?

答:即Remote Power Module,系统总电源箱.

火灾异常处理程序

答:(1) 立即警告周围人员. (2) 尝试 3 秒钟灭火. (3) 按下EMO停止机台. (4)

关闭 VMB Valve 并通知厂务. (5) 撤离.

一氧化碳(CO)侦测器警报异常处理程序

答:(1) 警告周围人员. (2) 按 Pause 键,暂止 Run 货. (3) 立即关闭 VMB 阀,并通知厂务. (4) 进行测漏.

高压电击异常处理程序

答:(1) 确认安全无虑下,按 EMO键(2) 确认受伤原因(误触电源,漏水等)(3) 处理受伤人员

T/C (传送Transfer Chamber) 之功能为何 ?

答:提供一个真空环境, 以利机器手臂在反应腔与晶舟间传送 Wafer,节省时间.

机台PM时需佩带面具否

答:是,防毒面具

机台停滞时间过久run货前需做何动作

答:Seasoning(陈化处理)

何谓日常测机

答:机台日常检点项目, 以确认机台状况正常

何谓WAC (Waferless Auto Clean)

答:无wafer自动干蚀刻清机

何谓Dry Clean

答:干蚀刻清机

日常测机量测etch rate之目的何在?

答:因为要蚀刻到多少厚度的film,其中一个重要参数就是蚀刻率

操作酸碱溶液时,应如何做好安全措施?

答:(1) 穿戴防酸碱手套围裙安全眼镜或护目镜(2) 操作区备有清水与水管以备不时之需(3) 操作区备有吸酸棉及隔离带

如何让chamber达到设定的温度?

答:使用heater 和 chiller

Chiller之功能为何?

答:用以帮助稳定chamber温度

如何在chamber建立真空?

答:(1) 首先确立chamber parts组装完整(2) 以dry pump作第一阶段的真空建立(3) 当圧力到达100mTD寺再以turbo pump 抽真空至1mT以下真空计的功能为何?

答:侦测chamber的压力,确保wafer在一定的压力下 process

Transfer module 之robot 功用为何?

答:将wafer 传进chamber与传出chamber之用

何谓MTBC? (mean time between clean)

答:上一次wet clean 到这次wet clean 所经过的时间

RF Generator 是否需要定期检验?

答:是需要定期校验;若未校正功率有可能会变化;如此将影响电浆的组成

为何需要对etch chamber温度做监控?

答:因为温度会影响制程条件;如etching rate/均匀度

为何需要注意dry pump exhaust presure (pump 出口端的气压)?

答:因为气压若太大会造成pump 负荷过大;造成pump 跳掉,影响chamber的压力,直接影响到run货品质

为何要做漏率测试? (Leak rate )

答: (1) 在PM后PUMP Down 1~2小时后;为确保chamber Run 货时,无大气进入chamble 影响chamber GAS 成份(2) 在日常测试时,为确保chamber 内来自大气的泄漏源,故需测漏

机台发生Alarm时应如何处理?

答:(1) 若为火警,立即圧下EMO(紧急按钮),并灭火且通知相关人员与主管(2) 若是一般异常,请先检查alarm 讯息再判定异常原因,进而解决问题,若未能处理应立即通知主要负责人

蚀刻机台废气排放分为那几类?

答:一般无毒性废气/有毒酸性废气排放

蚀刻机台使用的电源为多少伏特(v)?

答:208V 三相

干式蚀刻机台分为那几个部份?

答:(1) Load/Unload 端 (2) transfer module (3) Chamber process module (4) 真空系统 (5) GAS system (6) RF system

PHOTO

PHOTO 流程?

答:上光阻→曝光→显影→显影後检查→CD量测→Overlay量测

何为光阻?其功能为何?其分为哪两种?

答:Photoresist(光阻).是一种感光的物质,其作用是将Pattern从光罩(Reticle)上传递到Wafer上的一种介质。其分为正光阻和负光阻。

何为正光阻?

答:正光阻,是光阻的一种,这种光阻的特性是将其曝光之后,感光部分的性质会改变,并在之后的显影过程中被曝光的部分被去除。

何为负光阻?

答:负光阻也是光阻的一种类型,将其曝光之后,感光部分的性质被改变,但是这种光阻的特性与正光阻的特性刚好相反,其感光部分在将来的显影过程中会被留下,而没有被感光的部分则被显影过程去除。

什幺是曝光?什幺是显影?

答:曝光就是通过光照射光阻,使其感光;显影就是将曝光完成后的图形处理,以将图形清晰的显现出来的过程。

何谓 Photo?

答:Photo=Photolithgraphy,光刻,将图形从光罩上成象到光阻上的过程。

Photo主要流程为何?

答:Photo的流程分为前处理,上光阻,Soft Bake, 曝光,PEB,显影,Hard Bake 等。

何谓PHOTO区之前处理?

答:在Wafer上涂布光阻之前,需要先对Wafer表面进行一系列的处理工作,以使光阻能在后面的涂布过程中能够被更可靠的涂布。前处理主要包括Bake,HDMS 等过程。其中通过Bake将Wafer表面吸收的水分去除,然后进行HDMS工作,以使Wafer表面更容易与光阻结合。

何谓上光阻?

答:上光阻是为了在Wafer表面得到厚度均匀的光阻薄膜。光阻通过喷嘴(Nozzle)被喷涂在高速旋转的Wafer表面,并在离心力的作用下被均匀的涂布在Wafer的表面。

何谓Soft Bake?

答:上完光阻之后,要进行Soft Bake,其主要目的是通过Soft Bake将光阻中的溶剂蒸发,并控制光阻的敏感度和将来的线宽,同时也将光阻中的残余内应力释放。

何谓曝光?

答:曝光是将涂布在Wafer表面的光阻感光的过程,同时将光罩上的图形传递到Wafer上的过程。

何谓PEB(Post Exposure Bake)?

答:PEB是在曝光结束后对光阻进行控制精密的Bake的过程。其目的在于使被曝光的光阻进行充分的化学反应,以使被曝光的图形均匀化。

半导体基础知识

半导体基础知识(详细篇) 2.1.1概念 根据物体导电能力(电阻率)的不同,来划分导体、绝缘体和半导体。 1. 导体:容易导电的物体。如:铁、铜等 2. 绝缘体:几乎不导电的物体。如:橡胶等 3. 半导体:半导体是导电性能介于导体和半导体之间的物体。在一定条件下可 导电。 半导体的电阻率为10-3?109 cm 典型的半导体有硅 Si 和锗Ge 以 及砷化傢GaAs 等。 半导体特点: 1) 在外界能源的作用下,导电性能显著变化。光敏元件、热敏元件属于此 类。 2) 在纯净半导体内掺入杂质,导电性能显著增加。二极管、三极管属于此 类。 2.1.2本征半导体 1. 本征半导体一一化学成分纯净的半导体。制造半导体器件的半导体材料的纯度 要达到99.9999999%常称为“九个9”。它在物理结构上呈单晶体形态。电子 技术中用的最多的是硅和锗。 硅和锗都是4价元素,它们的外层电子都是4个。其简化原子结构模型如下 图: 外层电子受原子核的束缚力最 小, 成为价电子。物质的性质是由价 电子决 定的。 2. 本征半导体的共价键结构 本征晶体中各原子之间靠得很近, 相邻原子的吸引,分别与周围的四个原子 的价电子形成共价键。 外层电子受原子核的束缚力最小, 的。 使原分属于各原子的四个价电子同时受到 共价键中的价电

3.共价键 共价键上的两个电子是由相邻原子各用 一个电子组成的,这两个电子被成为束缚电子。 束缚电子同时受两个原子的约束,如果没有足 够的能量,不易脱离轨道。因此,在绝对温度 T=0° K (-273° C )时,由于共价键中的电子 被束缚着,本征半导体中没有自由电子,不导 电。只有在激发下,本征半导体才能导电 4. 电子与空穴 当导体处于热力学温度0°K 时,导体中没有自由电子。当温度升高或受到 光的照射时,价电子能量增高,有的价电子可以挣脱原子核的束缚,而参与导电, 成为自由电子。这一现象称为本征激发,也称热激发。 自由电子产生的同时,在其原来的共价键中就出现了一个空位, 原子的电中 性被破坏,呈现出正电性,其正电量与电子的负电量相等,人们常称呈现正电性 的这个空位为空穴。 电子与空穴的复合 可见因热激发而出现的自由电子和空穴是同时成对出现的, 称为电子空穴对。 游离的部分自由电子也可能回到空穴中去, 称为复合,如图所示。本征激发和复 合在一定温并为它们所束缚,在空间形成排列有序的晶体。如下图所 硅晶体的空间排列与共价键结构平面示意图 空A * 电 子为这些原子所共有,

半导体常用英语词汇-

MFG 常用英文单字 Semiconductor半导体 导体、绝缘体和半导体主要依据导电系数的大小,决定了电子的移动速度。 导体:金、银、铜、铁、人、水……导电系数大,传导容易 绝缘体:塑料、木头、皮革、纸……导电系数小、传导不容易 半导体:硅中加锗、砷、镓、磷……平时不导电加特定电压后导电Wafer 芯片或晶圆:原意为法国的松饼,饼干上有格子状的饰纹,与FAB内生产的芯片图形类似。 Lot 批;一批芯片中最多可以有25片,最少可以只有一片。 ID Identification的缩写。用以辨识各个独立的个体,就像公司内每一个人有自己的识别证。 Wafer ID 每一片芯片有自己的芯片刻号,叫Wafer ID。 Lot ID 每一批芯片有自己的批号,叫Lot ID。 Part ID 各个独立的批号可以共享一个型号,叫Part ID。 WIP Work In Process,在制品。从芯片投入到芯片产品,FAB内各站积存了相当数量的芯片,统称为FAB内的WIP 。 一整个制程又可细分为数百个Stage和Step,每一个Stage所堆积的芯片, 称为Stage WIP。 Lot Priority 每一批产品在加工的过程中在WIP中被选择进机台的优先级。 Super Hot Run的优先级为1,视为等级最高,必要时,当Lot在 上一站加工时,本站便要空着机台等待Super Hot Run。 Hot Run的优先级为2,紧急程度比Super Hot Run次一级。 Normal的优先级为3,视为正常的等级,按正常的派货原则,或 视常班向生产指令而定。 Cycle time 生产周期,FAB Cycle Time 定义为:从芯片投入到芯片产生的这一段时间。 Stage Cycle Time:Lot从进站等候开始到当站加工后出货时间点截止。Spec. 规格Specification的缩写。产品在机台加工过程中,每一站均设定规格。 机台加工后,产品或控片经由量测机台量测,该产品加工后,是否在规格 内。若超出规格﹝Out of SPEC﹞,必须通知组长将产品Hold,并同时通知 制程工程师前来处理,必要时机台要停工,重新monitor,确定量测规格, 藉以提升制程能力。 SPC Statistics Process Control统计制程管制;透过统计的手法,搜集分析资料,然后调整机台参数设备改善机台状况或请让机台再处理每一批产品时,都

【半导体研磨 精】半导体晶圆的生产工艺流程介绍

?从大的方面来讲,晶圆生产包括晶棒制造和晶片制造两大步骤,它又可细分为以下几道主要工序(其中晶棒制造只包括下面的第一道工序,其余的全部属晶片制造,所以有时又统称它们为晶柱切片后处理工序): 晶棒成长--> 晶棒裁切与检测--> 外径研磨--> 切片--> 圆边--> 表层研磨--> 蚀刻--> 去疵--> 抛光--> 清洗--> 检验--> 包装 1 晶棒成长工序:它又可细分为: 1)融化(Melt Down) 将块状的高纯度复晶硅置于石英坩锅内,加热到其熔点1420°C以上,使其完全融化。 2)颈部成长(Neck Growth) 待硅融浆的温度稳定之后,将〈1.0.0〉方向的晶种慢慢插入其中,接着将晶种慢慢往上提升,使其直径缩小到一定尺寸(一般约6mm左右),维持此直径并拉长 100-200mm,以消除晶种内的晶粒排列取向差异。 3)晶冠成长(Crown Growth) 颈部成长完成后,慢慢降低提升速度和温度,使颈部直径逐渐加大到所需尺寸(如 5、6、8、12吋等)。 4)晶体成长(Body Growth) 不断调整提升速度和融炼温度,维持固定的晶棒直径,只到晶棒长度达到预定值。 5)尾部成长(Tail Growth) 1

当晶棒长度达到预定值后再逐渐加快提升速度并提高融炼温度,使晶棒直径逐渐变小,以避免因热应力造成排差和滑移等现象产生,最终使晶棒与液面完全分离。到此即得到一根完整的晶棒。 2 晶棒裁切与检测(Cutting & Inspection) 将长成的晶棒去掉直径偏小的头、尾部分,并对尺寸进行检测,以决定下步加工的工艺参数。 3 外径研磨(Su rf ace Grinding & Shaping) 由于在晶棒成长过程中,其外径尺寸和圆度均有一定偏差,其外园柱面也凹凸不平,所以必须对外径进行修整、研磨,使其尺寸、形状误差均小于允许偏差。 4 切片(Wire Saw Sl ic ing) 由于硅的硬度非常大,所以在本工序里,采用环状、其内径边缘镶嵌有钻石颗粒的薄片锯片将晶棒切割成一片片薄片。 5 圆边(Edge Profiling) 由于刚切下来的晶片外边缘很锋利,硅单晶又是脆性材料,为避免边角崩裂影响晶片强度、破坏晶片表面光洁和对后工序带来污染颗粒,必须用专用的电脑控制设备自动修整晶片边缘形状和外径尺寸。 ? 6 研磨(Lapping) 研磨的目的在于去掉切割时在晶片表面产生的锯痕和破损,使晶片表面达到所要求的光洁度。 7 蚀刻(Etching) 1

半导体基本知识

一、半导体基本知识 太阳电池是用半导体材料硅做成的。容易导电的是导体,不易导电的是绝缘体,即不像导体那样容易导电又不像绝缘体那样不容易导电的物体叫半导体,譬如:锗、硅、砷化缘等。 世界上的物体都是由原子构成的,从原子排列的形式来看,可以把物体分成2大类,晶体和非晶体。晶体通常都有特殊的外形,它内部的原子按照一定的规律整齐地排列着;非晶体内部原子排列乱七八糟,没有规则;大多数半导体都是晶体。半导体材料硅是原子共价晶体,在晶体中,相邻原子之间是以共用电子结合起来的。硅是第四族元素,硅原子的电子层结构为2、8、4,它的最外层的四个电子是价电子。因此每个硅原子又分别与相邻的四个原子形成四个共价键,每个共价键都是相邻的两个原子分别提供一个价电子所组成的。 如果硅晶体纯度很高,不含别的杂质元素,而且晶体结构很完美,没有缺陷,这种半导体叫本征半导体,而且是单晶体。而多晶体是由许多小晶粒聚合起来组成的,每一晶体又由许多原子构成。原子在每一晶粒中作有规则的整齐排列,各个晶粒中原子的排列方式都是相同的。但在一块晶体中,各个晶粒的取向(方向)彼此不同,晶粒与晶粒之间并没有按照一定的规则排列,所以总的来看,原子的排列是杂乱无章的,这样的晶体,我们叫它多晶体。 半导体有很特别的性质:导电能力在不同的情况下会有非常大的差别。光照、温度变化、适当掺杂都会使半导体的导电能力显著增强,尤其利用掺杂的方法可以制造出五花八门的半导体器件。但掺杂是有选择的,只有加入一定种类和数量的杂质才能符合我们的要求。 我们重点看一下硼和磷这两种杂质元素。硼是第三族主族元素,硼原子的电子层结构为2、3,由于硼原子的最外电子层只有三个电子,比硅原子缺少一个最外层电子,因此当硼原子的三个最外层价电子与周围最邻近的三个硅原子的价电子结合成共价键时,在与第四个最邻近的硅原子方向留下一个空位。这个空位叫空穴,它可以接受从邻近硅原子上跳来的电子,形成电子的流动,参与导电。硼原子在硅晶体中起着接受电子的作用,所以叫硼原子为受主型杂质。掺有受主型杂质的半导体,其导电率主要是由空穴决定的,这种半导体又叫空穴型或P型半导体。 磷是周期表中第五族元素,磷原子的电子层结构为2、8、5,它的最外层的五个电子是价电子。由于磷原子比硅原子多一个最外层电子,因此当磷原子的四个价电子与周围最邻近的四个硅原子的价电子形成共价键后,还剩余一个价电子。这个价电子很容易成为晶体中的自由电子参与导电。磷原子在硅晶体中起施放电子的作用,所以叫磷原子为施主型杂质。掺有施主型杂质的半导体,其导电率主要是由电子决定的,这种半导体又叫电子型半导体或n型半导体。 二、扩散基本知识 我们知道,太阳能电池的心脏是一个PN结。我们需要强调指出,PN结是不能简单地用两

硬件类常用英语词汇

硬件类常用英语词汇 下面是小编整理的硬件类常用英语词汇,希望对大家有帮助。 计算机英语词汇大全 常见硬件篇 CPU:Central Processing Unit,中央处理单元,又叫中央处理器或微处理器,被喻为电脑的心脏。 LD:Laser Disk,镭射光盘,又称激光视盘。 CD:Compact Disc,压缩光盘,又称激光唱盘。 CD-ROM:Compact Disc-Read Only Memory,压缩光盘-只读记忆(存储),又叫“只读光盘”。 VCD:Video Compact Disc,视频压缩光盘,即人们通常所说的“小影碟”。 RAM:Random Access Memory,随机存储器,即人们常说的“内存”。 ROM:Read-Only Memory,只读存储器。 Seagate:美国希捷硬盘生产商。Seagate英文意思为“通往海洋的门户”,常指通海的运河等。 Quantum:英文含意为“定量,总量”。著名硬盘商标,美国昆腾硬盘生产商(Quantum Corporation)。

Maxtor:“水晶”,美国Maxtor硬盘公司。 PCI:Peripheral Component Interconnection,局部总线(总线是计算机用于把信息从一个设备传送到另一个设备的高速通道)。PCI总线是目前较为先进的一种总线结构,其功能比其他总线有很大的提高,可支持突发读写操作,最高传输率可达132Mbps,是数据传输最快的总线之一,可同时支持多组外围设备。PCI不受制于 CPU处理器,并能兼容现有的各种总线,其主板插槽体积小,因此成本低,利于推广。 EDO:Extended Data Output,扩充数据输出。当CPU的处 理速度不断提高时,也相应地要求不断提高DRAM传送数据速度, 一般来说,FPM(Fast Page Model)DRAM传送数据速度在60-70ns,而EDO DRAM比FPM快3倍,达20ns。目前最快的是SDRAM(Synchronous DRAM,同步动态存储器),其存取速度高 达10ns。 SDRAM:Synchronous Dynamic Random Access Memory,同步动态随机存储器,又称同步DRAM,为新一代动态 存储器。它可以与CPU总线使用同一个时钟,因此,SDRAM存储 器较EDO存储器能使计算机的性能大大提高。 Cache:英文含义为“(勘探人员等贮藏粮食、器材等的)地窖; 藏物处”。电脑中为高速缓冲存储器,是位于CPU和主存储器 DRAM(Dynamic Randon Access Memory)之间,规模较小,但 速度很高的存储器,通常由SRAM(Static Random Access

半导体物理知识点总结

半导体物理知识点总结 本章主要讨论半导体中电子的运动状态。主要介绍了半导体的几种常见晶体结构,半导体中能带的形成,半导体中电子的状态和能带特点,在讲解半导体中电子的运动时,引入了有效质量的概念。阐述本征半导体的导电机构,引入了空穴散射的概念。最后,介绍了Si、Ge和GaAs的能带结构。 在1.1节,半导体的几种常见晶体结构及结合性质。(重点掌握)在1.2节,为了深入理解能带的形成,介绍了电子的共有化运动。介绍半导体中电子的状态和能带特点,并对导体、半导体和绝缘体的能带进行比较,在此基础上引入本征激发的概念。(重点掌握)在1.3节,引入有效质量的概念。讨论半导体中电子的平均速度和加速度。(重点掌握)在1.4节,阐述本征半导体的导电机构,由此引入了空穴散射的概念,得到空穴的特点。(重点掌握)在1.5节,介绍回旋共振测试有效质量的原理和方法。(理解即可)在1.6节,介绍Si、Ge的能带结构。(掌握能带结构特征)在1.7节,介绍Ⅲ-Ⅴ族化合物的能带结构,主要了解GaAs的能带结构。(掌握能带结构特征)本章重难点: 重点: 1、半导体硅、锗的晶体结构(金刚石型结构)及其特点; 三五族化合物半导体的闪锌矿型结构及其特点。 2、熟悉晶体中电子、孤立原子的电子、自由电子的运动有何不同:孤立原子中的电子是在该原子的核和其它电子的势场中运动,自由电子是在恒定为零的势场中运动,而晶体中的电子是在严格周期性重复排列的原子间运动(共有化运动),单电子近似认为,晶体中的某一个电子是在周期性排列且固定不动的原子核的势场以及其它大量电子的平均势场中运动,这个势场也是周期性变化的,而且它的周期与晶格周期相同。 3、晶体中电子的共有化运动导致分立的能级发生劈裂,是形成半导体能带的原因,半导体能带的特点: ①存在轨道杂化,失去能级与能带的对应关系。杂化后能带重新分开为上能带和下能带,上能带称为导带,下能带称为价带②低温下,价带填满电子,导带全空,高温下价带中的一部分电子跃迁到导带,使晶体呈现弱导电性。

半导体制造工艺流程

半导体制造工艺流程 N型硅:掺入V族元素--磷P、砷As、锑Sb P型硅:掺入III族元素—镓Ga、硼B PN结: 半导体元件制造过程可分为 前段(FrontEnd)制程 晶圆处理制程(WaferFabrication;简称WaferFab)、 晶圆针测制程(WaferProbe); 後段(BackEnd) 构装(Packaging)、 测试制程(InitialTestandFinalTest) 一、晶圆处理制程 晶圆处理制程之主要工作为在矽晶圆上制作电路与电子元件(如电晶体、电容体、逻辑闸等),为上述各制程中所需技术最复杂且资金投入最多的过程,以微处理器(Microprocessor)为例,其所需处理步骤可达数百道,而其所需加工机台先进且昂贵,动辄数千万一台,其所需制造环境为为一温度、湿度与含尘(Particle)均需控制的无尘室(Clean-Room),虽然详细的处理程序是随著产品种类与所使用的技术有关;不过其基本处理步骤通常是晶圆先经过适当的清洗(Cleaning)之後,接著进行氧化(Oxidation)及沈积,最後进行微影、蚀刻及离子植入等反覆步骤,以完成晶圆上电路的加工与制作。 二、晶圆针测制程 经过WaferFab之制程後,晶圆上即形成一格格的小格,我们称之为晶方或是晶粒(Die),在一般情形下,同一片晶圆上皆制作相同的晶片,但是也有可能在同一片晶圆上制作不同规格的产品;这些晶圆必须通过晶片允收测试,晶粒将会一一经过针测(Probe)仪器以测试其电气特性,而不合格的的晶粒将会被标上记号(InkDot),此程序即称之为晶圆针测制程(WaferProbe)。然後晶圆将依晶粒为单位分割成一粒粒独立的晶粒 三、IC构装制程 IC構裝製程(Packaging):利用塑膠或陶瓷包裝晶粒與配線以成積體電路目的:是為了製造出所生產的電路的保護層,避免電路受到機械性刮傷或是高溫破壞。 半导体制造工艺分类 半导体制造工艺分类 一双极型IC的基本制造工艺: A在元器件间要做电隔离区(PN结隔离、全介质隔离及PN结介质混合隔离)ECL(不掺金)(非饱和型)、TTL/DTL(饱和型)、STTL(饱和型)B在元器件间自然隔离 I2L(饱和型) 半导体制造工艺分类 二MOSIC的基本制造工艺: 根据栅工艺分类 A铝栅工艺 B硅栅工艺

(整理)半导体基础知识.

1.1 半导体基础知识概念归纳 本征半导体定义:纯净的具有晶体结构的半导体称为本征半导体。 电流形成过程:自由电子在外电场的作用下产生定向移动形成电流。 绝缘体原子结构:最外层电子受原子核束缚力很强,很难成为自由电子。 绝缘体导电性:极差。如惰性气体和橡胶。 半导体原子结构:半导体材料为四价元素,它们的最外层电子既不像导体那么容易挣脱原子核的束缚,也不像绝缘体那样被原子核束缚得那么紧。 半导体导电性能:介于半导体与绝缘体之间。 半导体的特点: ★在形成晶体结构的半导体中,人为地掺入特定的杂质元素,导电性能具有可控性。 ★在光照和热辐射条件下,其导电性有明显的变化。 晶格:晶体中的原子在空间形成排列整齐的点阵,称为晶格。 共价键结构:相邻的两个原子的一对最外层电子(即价电子)不但各自围绕自身所属的原子核运动,而且出现在相邻原子所属的轨道上,成为共用电子,构成共价键。 自由电子的形成:在常温下,少数的价电子由于热运动获得足够的能量,挣脱共价键的束缚变成为自由电子。 空穴:价电子挣脱共价键的束缚变成为自由电子而留下一个空位置称空穴。 电子电流:在外加电场的作用下,自由电子产生定向移动,形成电子电流。 空穴电流:价电子按一定的方向依次填补空穴(即空穴也产生定向移动),形成空穴电流。 本征半导体的电流:电子电流+空穴电流。自由电子和空穴所带电荷极性不同,它们运动方向相反。 载流子:运载电荷的粒子称为载流子。 导体电的特点:导体导电只有一种载流子,即自由电子导电。 本征半导体电的特点:本征半导体有两种载流子,即自由电子和空穴均参与导电。 本征激发:半导体在热激发下产生自由电子和空穴的现象称为本征激发。 复合:自由电子在运动的过程中如果与空穴相遇就会填补空穴,

半导体行业专业词汇

半导体行业专业词汇 . acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷 22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。 37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。

半导体行业的英文单词和术语

半导体行业的英文单词和术语 A 安全地线safe ground wire 安全特性security feature 安装线hook-up wire 按半周进行的多周期控制multicycle controlled by half-cycle 按键电话机push-button telephone set 按需分配多地址demand assignment multiple access(DAMA) 按要求的电信业务demand telecommunication service 按组编码encode by group B 八木天线Yagi antenna 白噪声white Gaussian noise 白噪声发生器white noise generator 半波偶极子halfwave dipole 半导体存储器semiconductor memory 半导体集成电路semiconductor integrated circuit 半双工操作semi-duplex operation 半字节Nib 包络负反馈peak envelop negative feed-back 包络延时失真envelop delay distortion 薄膜thin film 薄膜混合集成电路thin film hybrid integrated circuit 保护比(射频)protection ratio (RF) 保护时段guard period 保密通信secure communication 报头header 报文分组packet 报文优先等级message priority 报讯alarm 备用工作方式spare mode 背景躁声background noise 倍频frequency multiplication 倍频程actave 倍频程滤波器octave filter 被呼地址修改通知called address modified notification 被呼用户优先priority for called subscriber 本地PLMN local PLMN 本地交换机local exchange 本地移动用户身份local mobile station identity ( LMSI) 本地震荡器local oscillator

半导体工艺流程

1、清洗 集成电路芯片生产的清洗包括硅片的清洗和工器具的清洗。由于半导体生产污染要求非常严格,清洗工艺需要消耗大量的高纯水;且为进行特殊过滤和纯化广泛使用化学试剂和有机溶剂。 在硅片的加工工艺中,硅片先按各自的要求放入各种药液槽进行表面化学处理,再送入清洗槽,将其表面粘附的药液清洗干净后进入下一道工序。常用的清洗方式是将硅片沉浸在液体槽内或使用液体喷雾清洗,同时为有更好的清洗效果,通常使用超声波激励和擦片措施,一般在有机溶剂清洗后立即采用无机酸将其氧化去除,最后用超纯水进行清洗,如图1 —6所示。 图1—6硅片清洗工艺示意图 工具的清洗基本米用硅片清洗同样的方法。 2、热氧化 热氧化是在800~1250C高温的氧气氛围和惰性携带气体(N2)下使硅片表面的硅氧化生成二氧化硅膜的过程,产生的二氧化硅用以作 为扩散、离子注入的阻挡层,或介质隔离层。典型的热氧化化学反应为:

Si + O2f SiO2 3、扩散 扩散是在硅表面掺入纯杂质原子的过程。通常是使用乙硼烷(B2H6)作为N —源和磷烷(PH3)作为P+源。工艺生产过程中通常 分为沉积源和驱赶两步,典型的化学反应为: 2PH3 f 2P + 3H2 4、离子注入 离子注入也是一种给硅片掺杂的过程。它的基本原理是把掺杂物质(原子)离子化后,在数千到数百万伏特电压的电场下得到加速,以较高的能量注入到硅片表面或其它薄膜中。经高温退火后,注入离子活化,起施主或受主的作用。 5、光刻 光刻包括涂胶、曝光、显影等过程。涂胶是通过硅片高速旋转在硅片表面均匀涂上光刻胶的过程;曝光是使用光刻机,并透过光掩膜版对涂胶的硅片进行光照,使部分光刻胶得到光照,另外,部分光刻胶得不到光照,从而改变光刻胶性质;显影是对曝光后的光刻胶进行去除,由于光照后的光刻胶和未被光照的光刻胶将分别溶于显影液和不溶于显影液,这样就使光刻胶上 形成了沟槽。 光刻胶 基片------------ ?涂胶后基片 1 1 1 1 ~ 显影后基片V------------- 曝光后基片 6、湿法腐蚀和等离子刻蚀

第一章半导体基础知识(精)

第一章半导体基础知识 〖本章主要内容〗 本章重点讲述半导体器件的结构原理、外特性、主要参数及其物理意义,工作状态或工作区的分析。 首先介绍构成PN结的半导体材料、PN结的形成及其特点。其后介绍二极管、稳压管的伏安特性、电路模型和主要参数以及应用举例。然后介绍两种三极管(BJT和FET)的结构原理、伏安特性、主要参数以及工作区的判断分析方法。〖本章学时分配〗 本章分为4讲,每讲2学时。 第一讲常用半导体器件 一、主要内容 1、半导体及其导电性能 根据物体的导电能力的不同,电工材料可分为三类:导体、半导体和绝缘体。半导体可以定义为导电性能介于导体和绝缘体之间的电工材料,半导体的电阻率为10-3~10-9 cm。典型的半导体有硅Si和锗Ge以及砷化镓GaAs等。半导体的导电能力在不同的条件下有很大的差别:当受外界热和光的作用时,它的导电能力明显变化;往纯净的半导体中掺入某些特定的杂质元素时,会使它的导电能力具有可控性;这些特殊的性质决定了半导体可以制成各种器件。 2、本征半导体的结构及其导电性能 本征半导体是纯净的、没有结构缺陷的半导体单晶。制造半导体器件的半导体材料的纯度要达到99.9999999%,常称为“九个9”,它在物理结构上为共价键、呈单晶体形态。在热力学温度零度和没有外界激发时,本征半导体不导电。 3、半导体的本征激发与复合现象 当导体处于热力学温度0 K时,导体中没有自由电子。当温度升高或受到光的照射时,价电子能量增高,有的价电子可以挣脱原子核的束缚而参与导电,成为自由电子。这一现象称为本征激发(也称热激发)。因热激发而出现的自由电子和空穴是同时成对出现的,称为电子空穴对。 游离的部分自由电子也可能回到空穴中去,称为复合。 在一定温度下本征激发和复合会达到动态平衡,此时,载流子浓度一定,且自由电子数和空穴数相等。 4、半导体的导电机理 自由电子的定向运动形成了电子电流,空穴的定向运动也可形成空穴电流,因此,在半导体中有自由电子和空穴两种承载电流的粒子(即载流子),这是半导体的特殊性质。空穴导电的实质是:相邻原子中的价电子(共价键中的束缚电子)依次填补空穴而形成电流。由于电子带负电,而电子的运动与空穴的运动方向相反,因此认为空穴带正电。

计算机常用英语词汇大全

、 计算机常用英语词汇大全 CPU(Center Processor Unit)中央处理单元 mainboard主板 RAM(random access memory)随机存储器(内存) ROM(Read Only Memory)只读存储器 Floppy Disk软盘 Hard Disk硬盘 CD-ROM光盘驱动器(光驱) , monitor监视器 keyboard键盘 mouse鼠标 chip芯片 CD-R光盘刻录机 HUB集线器 Modem= MOdulator-DEModulator,调制解调器 P-P(Plug and Play)即插即用 , UPS(Uninterruptable Power Supply)不间断电源 BIOS(Basic-input-Output System)基本输入输出系统 CMOS(Complementary Metal-Oxide-Semiconductor)互补金属氧化物半导体

setup安装 uninstall卸载 wizzard向导 OS(Operation Systrem)操作系统OA(Office AutoMation)办公自动化、 exit退出 edit编辑 copy复制 cut剪切 paste粘贴 delete删除 select选择 find查找 · select all全选 replace替换 undo撤消 redo重做 program程序 license许可(证) back前一步 next下一步

] finish结束 folder文件夹 Destination Folder目的文件夹 user用户 click点击 double click双击 right click右击 settings设置 … update更新 release发布 data数据 data base数据库 DBMS(Data Base Manege System)数据库管理系统view视图 insert插入 object对象 ; configuration配置 command命令 document文档 POST(power-on-self-test)电源自检程序 cursor光标

半导体知识点

1.施主杂质:能够释放电子而产生导电电子并形成正电中心的杂质。 2. 受主杂质:能够接受电子而产生导电空穴,并形成负电中心的杂质。 3.受主能级:通过受主掺杂在半导体禁带中形成缺陷能级。正常情况下,此能 级被空穴占据,这个被受主杂质束缚的空穴的能量状态称为受主能级。 4.施主能级:通过施主掺杂在半导体禁带中形成缺陷能级,被施主杂质束缚 电子能量状态称为施主能级。 5.空穴:在电子挣脱价键的束缚成为自由电子,其价键中所留下来的空位。 6.间接复合:导带中的电子通过禁带的复合中心能级与价带中的空穴复合,这样的复合过程称为间接复合。 7.直接复合:导带中的电子越过禁带直接跃迁到价带,与价带中的空穴复合, 这样的复合过程称为直接复合。 8.非平衡载流子:处于非平衡状态的半导体,其载流子浓度也不再是平衡载流 子浓度,比它们多出一部分。比平衡状态多出来的这部分载流子称为非平衡载 流子。 9.直接带隙半导体:导带边和价带边处于 k 空间相同点的半导体通常被称为 直接带隙半导体。电子要跃迁的导带上产生导电的电子和空穴(形成半满能带)只需要吸收能量。例子有 GaAs,InP,InSb。 10.间接带隙半导体:导带边和价带边处于 k 空间不同点的半导体通常被称为间接带隙半导体。形成半满能带不只需要吸收能量,还要该变动量。例子有Ge,Si。 11.本征半导体:没有杂质和缺陷的半导体叫做本征半导体。 12.杂质半导体:在本征半导体中掺入某些微量元素作为杂质,可使半导体的导电性发生显著变化。掺入的杂质主要是三价或五价元素。掺入杂质的本征半导 体称为杂质半导体。 13. 迁移率:单位场强下电子的平均漂移速度。 14.扩散长度:非平衡载流子深入样品的平均距离。由扩散系数和材料寿命决定。 15.复合中心:促进复合过程的杂质和缺陷称为复合中心。 16.状态密度:单位能量间隔内的量子态数目称为状态密度。 17.小注入:过剩载流子的浓度远小于热平衡多子浓度的情况 18.过剩空穴:价带中超出热平衡状态浓度的空穴浓度△p=p-p。 简答题 1.实际半导体与理想半导体间的主要区别是什么?

最完整的计算机中的常用英语单词大全

A Active-matrix主动距陈 Adapter cards适配卡 Advanced application高级应用Analytical graph分析图表 Analyze分析 Animations动画 Application software 应用软件Arithmetic operations算术运算 Audio-output device音频输出设备Access time存取时间 access存取 accuracy准确性 ad network cookies广告网络信息记录软件 Add-ons附软件 Address地址 Agents代理 Analog signals模拟信号 Applets程序 Asynchronous communications port异步通信端口 Attachment附件 B Bar code条形码 Bar code reader条形码读卡器 Basic application基础程序 Binary coding schemes二进制译码方案Binary system二进制系统 Bit比特 Browser浏览器 Bus line总线 Backup tape cartridge units备份磁带盒单元 Bandwidth带宽 Bluetooth蓝牙 Broadband宽带 Browser浏览器 Business-to-business企业对企业电子商务 Business-to-consumer企业对消费者Bus总线C Cables连线 Cell单元箱 Chain printer链式打印机 Character and recognition device字符标识识别设备 Chart图表 Chassis支架 Chip芯片 Clarity清晰度 Closed architecture封闭式体系结构Column列 Combination key结合键 computer competency计算机能力connectivity连接,结点 Continuous-speech recognition system 连续语言识别系统 Control unit操纵单元 Cordless or wireless mouse无线鼠标Cable modems有线调制解调器 carpal tunnel syndrome腕骨神经综合症CD-ROM可记录光盘 CD-RW可重写光盘 CD-R可记录压缩光盘 Channel信道 Chat group谈话群组chlorofluorocarbons(CFCs) ]氯氟甲烷Client客户端 Coaxial cable同轴电缆 cold site冷战 Commerce servers商业服务器Communication channel信道Communication systems信息系统Compact disc rewritable Compact disc光盘 computer abuse amendments act of 19941994计算机滥用法案 computer crime计算机犯罪 computer ethics计算机道德 computer fraud and abuse act of 1986计算机欺诈和滥用法案 computer matching and privacy protection act of 1988计算机查找和隐

半导体专业英语词汇

半导体专业词汇 1. acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷

21. Arsenic trioxide(As2O3)三氧化二砷 22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。 37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技

光学专业常用英语词汇

光学专业常用英语词汇photoelectric liquid-level indicator 光电液位 指示器 photoelectric encoder 光电译码器photocathode 光电阴极 photoelectric cathode photoelectric cell 光电 阴极光电管 photoelectric fluorometer 光电荧光计 optical-electronic mail address recognizer 光 电邮件地址识别机 photoelectric threshold 光电阈 photoelectric cell 光电元件 photoelement 光电元件 photounit 光电元件 photoelectric reader 光电阅读器 photoreader 光电阅读器 photoelectric chopper 光电斩波器 photoelectric lighting control 光电照明控制electro-optical rectifier 光电整流器photoelectric direct reading spectrometer 光 电直读光谱计

photoelectric guidance 光电制导 photoelectric transit instrument 光电中星仪 photoelectric clock 光电钟 photoelectric translating system 光电转换系统photoelectric conversion efficiency 光电转换 效率 photoelectrical refrigeration 光-电转换制冷 photoelectric tachometer 光电转速计 photoelectronics 光电装置 photoelectric turbidimeter 光电浊度计 photonephelometer 光电浊度计 photoelectron 光电子 photoelectric yield 光电子产额 optical electronic reproducer 光电子唱头 optoelectronic memory 光电子存储 optoelectronic storage 光电子存储 optoelectronic storage 光电子存储器 photoelectronic 光电子的 photoelectric emission 光电子发射 photoelectron emission spectroscopy 光电子

半导体常用英语词汇

MFG 常用英文单字Semiconductor半导体 导体、绝缘体和半导体主要依据导电系数的大小,决定了电子的移动速度。 导体:金、银、铜、铁、人、水……导电系数大,传导绝缘体:塑料、木头、皮革、纸……导电系数小、传导不半导体:硅中加锗、砷、镓、磷……平时不导电加特定电压后导电 Wafer 芯片或晶圆:原意为法国的松饼,饼干上有格子状的饰 纹,与FAB内生产的芯片图形类 Lot 批;一批芯片中最多可以有25片,最少可以只有一片。 ID Identification的缩写。用以辨识各个独立的个体,就像公司内每一个人有自己的识别证。 Wafer ID 每一片芯片有自己的芯片刻号,叫Wafer ID。 Lot ID 每一批芯片有自己的批号,叫Lot ID。 Part ID 各个独立的批号可以共享一个型号,叫Part ID。 WIP Work In Process,在制品。从芯片投入到芯片产品,FAB内各站积存了相当数量的芯片,统称为FAB内的WIP 。 一整个制程又可细分为数百个Stage和Step,每一个Stage所堆积的芯

片,称为Stage WIP。 Lot Priority 每一批产品在加工的过程中在WIP中被选择进机台的优先级。 Super Hot Run的优先级为1,视为等级最高,必要时,当Lo上一站加工时,本站便要空着机台等待Super Hot RuHot Run的优先级为2,紧急程度比Super Hot Run次一级。 Normal的优先级为3,视为正常的等级,按正常的派货原则视常班向生 产指令而 Cycle time 生产周期,FAB Cycle Time 定义为:从芯片投入到芯片产生的这一段时间。Stage Cycle Time:Lot从进站等候开始到当站加工后出 货时间点截 Spec. 规格Specification的缩写。产品在机台加工过程中,每一站均设定规格。 机台加工后,产品或控片经由量测机台量测,该产品加工后,是否在规格内。若超出规格﹝Out of SPEC﹞,必须通知组长将产品Hold,并同时通知制程工程师前来处理,必要时机台要停工,重新monitor,确定量测规格, 藉以提升制程能 SPC Statistics Process Control统计制程管制;透过统计的手法,搜集分析资料, 然后调整机台参数设备改善机台状况或请让机台再处理每一批产品时,都能接近规定的规格,藉以提升制程能

相关文档