文档库 最新最全的文档下载
当前位置:文档库 › 计算机组成习题5,6,8,9章答案

计算机组成习题5,6,8,9章答案

计算机组成习题5,6,8,9章答案
计算机组成习题5,6,8,9章答案

第五章

5.1 说明主存储器的组成,并比较SRAM和DRAM有什么不同之处?为什么DRAM的地址一般要分两次接收?

略。

5.2 有一个64K×16位的存储器,由16K×1位的DRAM芯片(芯片内是128×128结构)构成,存储器读/写周期为500ns,问:

(1)需要多少片DRAM芯片?

(2)采用异步刷新方式,如单元刷新间隔不超过2ms,则刷新信号周期是多少?

(3)如果用集中刷新方式,存储器刷新一遍最少用多少时间?

答:(1)64;

(2)15.625微秒

(3)64微秒

5.3 某机字长16 位,CPU地址总线18位,数据总线16位,存储器按字编址,CPU 的控制信号线有:MREQ#(存储器访问请求,低电平有效),R/W#(读写控制,低电平为写信号,高电平为读信号)。试问:

(1)该机可以配备的最大主存容量为。

(2)该机主存采用64K×1bit的DRAM芯片(内部为4个128×128阵列)构成最大主存空间,则共需个芯片;若采用异步刷新方式,单元刷新间隔为2ms,则刷新信号的周期为。

(3)若为该机配备2K×16位的Cache,每块8字节,采用2路组相联映象,试写出对主存地址各个字段的划分(标出各个字段的位数);若主存地址为462EH,则该地址可映象到Cache的哪一组?

(4)已知该机已有8K×16位的ROM存储器,地址处于主存的最高端;现在再用若干个16K×8位的SRAM芯片形成128K×16位的RAM存储区域,起始地址为00000H,假设SRAM芯片有CS#(片选,低电平有效)和WE#(写使能,低电平有效)信号控制端;试写出RAM、ROM的地址范围,并画出SRAM、ROM与CPU的连接图,请标明SRAM芯片个数、译码器的输入输出线、地址线、数据线、控制线及其连接。

答:(1)256KW

(2)64, 15.625微秒

(3)高位字块标记(8位)组地址(8位) 块内地址(2位)

(4)略

5.4 设有一个4体交叉存储器,在使用时经常遇到连续访问同一个存储

体的情况,会产生怎样的结果?

答:存储器带宽降低。

5.5 某计算机的存储系统由Cache、主存和用于虚拟存储的磁盘组成。CPU总是从Cache中获取数据。若所访问的字在Cache中,则存取它只需要20ns,将所访问的字从主存装入Cache需要60ns,而将它从磁盘装入主存则需要1200μs。假定Cache的命中率为90%,主存的命中率为60%,计算该系统访问一个字的平均存取时间。

答:48.0098μs

5.6 CPU执行一段时间时,cache完成存取的次数为3900次,主存完成的存取次数为100次,已知cache的存储周期为40ns,主存的存储周期为240ns。求cache/主存系统的效率和平均访问时间?

答:e=8/9=89%

Ta=45ns

5.7某处理器包含一片内Cache,容量为8K字节,且采用4路组相联结构,块的大小为4个32位字。当Cache未命中时,以分组方式从主存读取4个字到Cache,假定主存容量为16M字节。请说明:

(1)Cache共分多少组?

(2)写出主存的字节地址的格式,并说明地址格式中的不同字段的作用和位数;

答:(1) 128组;

(2) 高位字块标记(13位)组地址(7位) 块内地址(4位)

5.8计算机主存容量为256K字,Cache为8K字。主存与Cache之间按组相联映射,Cache的每组有4个行,每行有64个字。假设开始时Cache 为空,CPU按顺序从主存地址为0,1,2,… ,8447单元执行“取“操作(不命中时,采用将主存中含有该字的块送入Cache后,再从Cache中把需要的字读出送CPU的方法),然后又重复执行20次。设Cache存取时间为主存的1/10。替换使用LRU算法,请计算上述操作总的存取时间与不用Cache相比,速度提高多少倍?

答:约4.965倍

5.9 简述虚拟存储器的含义和作用。

略。

习题六

6.1指令包括哪几部分?各表示什么含意?

6.2在一地址指令、二地址指令中,如何指定二个操作数地址?如何存放操作结果?

略。

6.3简述指令操作码的扩展技术的基本方法。

略。

6.4某机器字长16位,采用单字长指令,每个地址码6位。试采用操作码扩展技术,设计14条二地址指令,80条一地址指令,60条零地

址指令。请给出指令编码示意图。

1.(7分)

●14条双地址指令:

操作码(4位)地址码A1(6位) 地址码A2(6位)

操作码: 000000~001101

●80条单地址指令:

操作码(10位)地址码A(6位)

操作码:1110××××××(64条中可选择前63条)

1111××××××(64条中可选择前17条)

●60条零地址指令:

操作码(16位)

操作码: 1111111111××××××(64条中选择60个编码即可)

其他编码方案,只要符合操作码扩展技术都可以

6.5什么是指令字长?什么是机器字长?它们之间有何关系?

略:

6.6确定寻址方式的目的是什么?

6.7请说明间接寻址和直接寻址的不同。

略。

6.8简述变址寻址和基址寻址的主要区别。

略。

6.9单项选择题

(1)寄存器间接寻址方式中,操作数在()中。

A. 程序计算器

B. 堆栈

C. 寄存器

D. 主存

答:D

(2)堆栈常用于()。

A. 数据移位

B. 程序转移

C. 保护程序现场

D. 输入、输出答:C

(3)单地址指令中,为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个数常需采用()。

A.堆栈寻址 B. 立即寻址 C. 隐含寻址 D. 间接寻址答:C

(4)用于对某个寄存器中操作数的寻址方式称为()

A.直接寻址 B. 间接寻址 C. 寄存器直接寻址 D. 寄存器间接寻址

(5)指令中采用不同的寻址方式,其主要目的是()。

A. 可以实现操作码的扩展

B. 实现存储程序和程序控制

C. 缩短指令长度、扩大寻址空间、提高编程的灵活性。

D. 降低指令译码的难度。

答:C

(6)指令寻址和数据寻址的不同在于()。

A. 前者是访问存储器,后者是访问寄存器。

B. 前者是确定程序转移地址,后者取操作数。

C. 前者是确定程序顺序执行,后者是取操作数地址。

D. 前者是短指令,后者是长指令。

答:C

(7)变址寻址方式中,操作数的有效地址为()。

A. 程序计数器的内容加上形式地址。

B. 基址寄存器的内容加上形式地址。

C. 变址寄存器的内容加上形式地址。

D. 变址寄存器的内容加上基址寄存器的内容

答:C

(8)CISC指令系统与RISC指令系统相比具有()等特点。

A. 前者指令条数少,后者指令条数多。

B. 前者执行速度慢,后者执行速度快。

C. 前者有利于编译生成优化代码,后者不便于编译。

D. 前者指令功能简单,后者指令功能复杂。

答:B

6.10某机16位字长指令格式如下:

OP M D

5位3位8位

其中:D是形式地址,采用补码表示(包括一位符号位);

M是寻址方式

M=0 立即寻址;

M=1 直接寻址(这时D为地址,是无符号数);

M=2 间接寻址;

M=3 变址寻址(变址寄存器RI,16位);

M=4 基址寻址(基址寄存器Rb,16位);

M=5相对寻址。

(1)该指令格式最多可以定义多少种不同的操作?立即寻址操作

数范围是多少?

(2)写出各种寻址方式的有效地址的计算表达式。

(3)各种寻址方式时能访问的最大主存空间范围是多少?

答:(1)32, 0~255

(2)直接寻址:EA=D

间接寻址:EA=(D)

变址寻址:EA=(RI)+D

基址寻址:EA=(Rb)+D

相对寻址:EA=(PC)+D

(3)直接寻址:0~255

间接寻址:0~255(设存储器长度为8位,且只能取一个字节)

变址寻址:0~64K-1

基址寻址:0~64K-1

相对寻址:当前指令地址的-128~+127

6.11一个较完整的指令系统应该包括哪些类型的指令?

6.12假设相对寻址的转移指令占两个字节,第一个字节是操作码和寻址方式,第二个字节是相对偏移量,用补码表示。若当前转移指令的

第一字节所在地址为0019H,且CPU每取出一个字节指令便会自动

执行(PC)+1→PC操作。请问当转移地址分别为 0006H和0025H时,

相对转移指令第二字节的内容是什么?

答:EBH, 0AH.

6.13某机器内共有16个32位的通用寄存器,设计一种有60种操作,8种寻址方式的指令系统。假设指令字长等于机器字长,机器字长为

32位,请回答:

(1)若主存可直接寻址或间接寻址,采用“寄存器-存储器”型指令,能寻址最大存储空间是多少?画出指令格式并说明各字段的含意。

(2)若采用通用寄存器作基址寄存器,则“寄存器-存储器”型指令的指令

格式是怎样? 能寻址最大存储空间是多少?

答:(1)219;

操作码(6位) 寻址方式(3位)寄存器(4位) 地址A(19位)

(2)

操作码(6位) 寻址方式(3位)寄存器(4位) 地址A(19位)

232

6.14什么叫堆栈?它的操作特点是什么?堆栈主要用在哪里?

略。

6.15简述RISC的主要优缺点。

6.16设某机寄存器字长16位,用16进制表示,已知:变址寄存器内容为0004H,PC的内容为0003H,内存中部分单元内容如下:

地址:内容地址:内容

0002H:000AH 0007H:000AH

0003H:0002H 0008H:0002H

0004H:0007H 0009H:0003H

0005H:0004H 000AH:0009H

0006H:0005H 000BH:0008H

指令为双字长指令,格式如下:

操作码,寻址方式码,寄存器号(16位)

直接地址/间接地址/立即数/相对位移量/形式地址(16

位)

若当前指令分别为下列寻址方式时,试求出操作数填

入下表。

寻址方式操作数

直接

间接

立即

变址

答:000AH, 0009H, 0007H, 0008H

习题8

1.简述外设的编址方式,并比较它们的优缺点。

2.当控制器执行访存指令和I/O指令时,发出的读写控制信号有何不同?

答:访存指令发出存储器请求信号或存储器读写信号,访I/O指令发出I/O请求信号。或I/O读写信号。

3.为何要在CPU和外设之间设置一个接口?简述接口的功能和组成。

4.主机和外设交换信息的方式有哪几种?简述各自的工作原理和特点。

5.CPU与外设接口交换信息的方式有以下几种,其中 A 下,CPU 被外设独占,而 B 下支持CPU与外设并行工作,并且可以用于

突发事件的处理; C 用于高速、大批量的数据传送,并由硬件实

现。

A.程序查询方式

B.程序中断方式

C.DMA方式

D.并行传送

E.串行传送

答:(1) A , (2) B , (3) C

6.程序中断方式与DMA方式有何异同?

7.何谓中断?简述中断的全部过程。

8.简要说明中断请求线的几种传送方式,并对比它们的优缺点。

9.什么是中断屏蔽字?简述中断屏蔽技术的作用。

10.什么是中断嵌套?它解决了什么问题?如何才能实现中断嵌套?

11.中断源可以分为哪几类?各自有何特点?

12.有哪几种中断优先级排队方法?比较它们的优缺点。

13.解释下列名词:

(1)中断向量(2)中断隐指令(3)DMA (4)通道

(5)多重中断(6)单重中断(7)中断类型号(8)菊花链电路

14.假设有4个中断源A、B、C、D,硬件排队后,中断优先级从高到低依次为A→B→C→D。现在需要将4个中断源得到CPU响应的

次序更改为C→A→D→B,写出各个中断源的中断服务程序中应该

设置的中断屏蔽字。

习题九

9.1总线有哪些特性?其中电气特性是什么?

9.2总线有哪些类型?各种类型有何特点?

9.3总线的技术指标有哪些?总线带宽表示什么?

9.4何谓系统总线?单总线结构有何优缺点?查找一种现代计算机的多总

线例子,说明其结构和原理。

9.5总线信息传送方式有哪几种,它们各有何优缺点?它们各适用于何种

情况?

9.6设总线工作频率为33MHz,如果一个总线周期中并行传送32位数据,

则总线的带宽是多少?

答:32/8 ×33×106=126MB/秒

9.7在异步串行传输中,字符格式为:1个起始位、7位数据位、1位校验

位、1个终止位。若要求每秒传输240个字符,则需要传输的波特率是多少?

答:2400

9.8为何要进行总线仲裁?集中式总线仲裁方式分为哪几种?各有何优缺

点?

9.9为何要进行总线定时?总线定时有哪几种方式?各有何特点?一般适

用于哪些场合?

9.10 PCI总线的主要优点是什么?一般什么样的设备挂接在PCA总线

上?

9.11选择题

(1)系统总线中地址线的功能是()

A. 用于寻址I/O单元

B. 用于传输数据

C. 用于选择主存单元

D. 用于传送选择主存单元

和I/O接口的地址

答:D

(2)数据总线的宽度由总线的()定义。

A. 电气特性

B. 物理特性

C. 机械特性 C. 功能特性

答:C

(3)()的总线利用率最高;()的总线吞吐量最高。

A. 双总线结构

B. 单总线结构

C.串行总线

D. 多总线结构

答:B, D

(4)在总线分布式仲裁方式中,()所需要的连线最少,()仲裁结果不可预测。

A. 自举分布式仲裁方式

B. 冲突检测分布式仲裁方式

C. 并行竞争仲裁方式

D. 独立请求仲裁方式

答:A ,B

(5)在单机系统中,()总线结构的访问外设与访问主存单元一样。

A. 双总线结构

B. 单总线结构

C. 多总线结构

D. 三总线结构

答:B

(6)下列()信息传输应采用异步定时方式。

A. CPU与存储器

B. CPU与I/O接口

C. CPU与PCI总线

D. I/0接口与I/O接口

答:B

(7)关于ISA总线的下列描述中错误的是()。

A. ISA总线是在原先的PC/XT总线的基础上扩充的。

B. 主存寻址空间为16MB,I/O寻址空间为64KB;可进行8位或16位数据访问。

C. 地址线和数据线分时复用,支持无限猝发式数据传输。

D. 它支持存储器读/写、I/O读/写、中断响应、DMA响应、存储器刷新、总线仲裁。

答:C

(8)关于PCI总线的下列叙述中不正确的是()

A. PCI总线是一种与具体CPU无关的高速外围总线。

B.PCI总线可以将CPU与外部设备相连。

C. PCI总线的地址线和数据线分时复用,支持无限猝发式数据传输。

D. PCI总线采用集中式仲裁方式。

答:A

(9)关于RS-232C和RS-485总线的下列描述中错误的是()。

A. RS-232C是一种串行通信接口的电气标准。

B. RS-232C的信号线采用负逻辑电压。

C. RS-485与RS-232C最长传输距离相同。

D. RS-4385采用平衡发送和差分接收,具有抑制共模干扰的能力。

答:C

(10)下列描述USB总线的概念,正确的是()。

A. USB是一种通用并行总线。

B. 用USB集线器可以扩展USB端口。

C. USB总线只能连接低速设备。

D.在正运行的电脑上插入或拔除一个USB设备需要关机断电。

答:B

计算机组成原理第五版 白中英(详细)第5章习题参考答案

第5章习题参考答案 1.请在括号内填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是(IR ); (2)保存当前正在执行的指令地址的寄存器是(AR ) (3)算术逻辑运算结果通常放在(DR )和(通用寄存器)。 2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的内容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及微操作信号序列如下:

STO R1, (R2) R/W=R DR O, G, IR i R2O, G, AR i R1O, G, DR i R/W=W 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的内容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下:

PC O , G, AR i R/W=R DR O , G, IR i R 3O , G, AR i DR O , G, R 0i R/W=R LAD (R3), R0 4.假设主脉冲源频率为10MHz ,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解:

5.如果在一个CPU 周期中要产生3个节拍脉冲;T l =200ns ,T 2=400ns ,T 3=200ns ,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2、T 3的宽度为时钟周期或者是时钟周期的倍数即可。所以取时钟源提供的时钟周期为200ns ,即,其频率为5MHz.;由于要输出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下:

计算机组成原理习题 第五章

第五章 一.填空题 1.控制器由于设计方法的不同可分为型、型和型控制器。 2.控制器在生成各种控制信号时,必须按照一定的进行,以便对各种操作实施时间上的控制。 3.微程序控制的计算机中的控制存储器CM是用来存放的。 4.在微指令的字段编码法中,操作控制字段的分段并非是任意的,必须遵循的分段原则中包括:①把性的微命令分在同一段内;②一般每个小段要留出一个状态,表示。 5.微指令分为和微指令两类,微指令可以同时执行若干个微操作,所以执行机器指令的速度比微指令快。 6.在CPU中,指令寄存器的作用是,其位数取决于;程序计数器的作用是,其位数取决于。 7.指令周期是,最基本的指令周期包括和。 8.根据CPU访存的性质不同,可将CPU的工作周期分为、、和。 9.在CPU中保存当前正在执行的指令的寄存器是,保存下一条指令地址的寄存器是,保存CPU访存地址的寄存器是。 10.中断判优可通过和实现,前者速度更快。 11.中断服务程序的入口地址可通过和寻找。 12.在硬件向量法中,可通过两种方式找到服务程序的入口地址,一种是,另一种是。 13.CPU从主存取出一条指令并执行该指令的时间叫做,它常常用若干个来表示,而后者又包含有若干个。 14.程序顺序执行时,后继指令的地址由形成,遇到转移指令和调用指令时,后继指令的地址从获得。 15.控制器在生成各种控制信号时,必须按照一定的进行,以便对各种操作实施时间上的控制。 16.机器X和Y的主频分别是8MHz和12MHz,则X机的时钟周期为μs。

若X机的平均指令执行速度为0.4MIPS,则X机得平均指令周期为μs。若两个机器的机器周期内时钟周期数相等,则Y机得平均执行速度为MIPS。 17.一个主频为25MHz的CPU,平均每条指令包含2个机器周期,每个机器周期包含2个时钟周期,则计算机的平均速度是。如果每两个机器周期中有一个用于访存,而存储器速度较慢,需再插入2个时钟周期,此时指令周期为μs。 18.微指令格式可分为型和型两类,其中型微指令用较长的微程序结构换取较短的微指令结构。 19.在用微程序实现的控制器中,一条机器指令对应若干条,它又包含若干。微指令格式分成型和型两类,型微指令可同时执行若干个微操作,所以执行指令的速度比快。 20.实现机器指令的微程序一般存放在中,而用户程序存放在中,前者的速度比后者。若采用水平型微指令,则微指令长度一般比机器指令。 21.某计算机采用微程序控制,微指令字中操作控制字段共16位,若采用直接控制,则可以定义种微操作,此时一条微指令最多可同时启动个微操作。若采用编码控制,并要求一条微指令需同时启动4个微操作,则微指令字中的操作控制字段应分段,若每个字段的微命令数相同,这样的微指令格式最多可包含个微操作命令。 22.在微程序控制器中,一次能够定义并执行多个并行操作命令的微指令叫 做型微指令。若采用微操作码方式,一次只能执行一个操作命令的微指令(例如,控制信息从某个源部件到某个目标部件)叫做型微指令,后者实现一条机器指令的微程序要比前者编写的微程序。 23.在串行微程序控制器中,执行现行微指令的操作与取下一条微指令的操作在时间上是进行的,所以微指令周期等于。在并行为程序控制器中,执行现行微指令的操作与取下一条微指令的操作是进行的,所以微指令周期等于。 二.选择题

计算机组成原理第四版课后习题答案完整版

第一章 1.比较数字计算机和模拟计算机的特点 解:模拟计算机的特点:数值由连续量来表示,运算过程是连续的; 数字计算机的特点:数值由数字量(离散量)来表示,运算按位进行。 两者主要区别见P1 表1.1。 2.数字计算机如何分类?分类的依据是什么? 解:分类:数字计算机分为专用计算机和通用计算机。通用计算机又分为巨型机、大型机、 中型机、小型机、微型机和单片机六类。 分类依据:专用和通用是根据计算机的效率、速度、价格、运行的经济性和适应性来划分的。 通用机的分类依据主要是体积、简易性、功率损耗、性能指标、数据存储容量、 指令系统规模和机器价格等因素。

3.数字计算机有那些主要应用? (略) 4.冯. 诺依曼型计算机的主要设计思想是什么?它包括哪些主要组成部分? 解:冯. 诺依曼型计算机的主要设计思想是:存储程序和程序控制。 存储程序:将解题的程序(指令序列)存放到存储器中; 程序控制:控制器顺序执行存储的程序,按指令功能控制全机协调地完成运算任务。 主要组成部分有:控制器、运算器、存储器、输入设备、输出设备。 5.什么是存储容量?什么是单元地址?什么是数据字?什么是指令字? 解:存储容量:指存储器可以容纳的二进制信息的数量,通常用单位KB、MB、GB来度量,存储容 量越大,表示计算机所能存储的信息量越多,反映了计算机存储空间的大小。 单元地址:单元地址简称地址,在存储器中每个存储单

元都有唯一的地址编号,称为单元地 址。 数据字:若某计算机字是运算操作的对象即代表要处理的数据,则称数据字。 指令字:若某计算机字代表一条指令或指令的一部分,则称指令字。 6.什么是指令?什么是程序? 解:指令:计算机所执行的每一个基本的操作。 程序:解算某一问题的一串指令序列称为该问题的计算程序,简称程序。 7.指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 解:一般来讲,在取指周期中从存储器读出的信息即指令信息;而在执行周期中从存储器中读出的 信息即为数据信息。 8.什么是内存?什么是外存?什么是CPU?什么是适配器?简述其功能。

计算机组成原理考试题库

计算机原理考试题库 一、选择题 1、电子计算机的算术/逻辑单元、控制单元及主存储器合称为C。 A、CPU B、ALU C、主机 D、UP 2、用以指定待执行指令所在地址的是C。 A、指令寄存器 B、数据计数器 C、程序计数器 D、累加器 3、完整的计算机系统应包括D。 A、运算器、存储器、控制器 B、外部设备和主机 C、主机和实用程序 D、配套的硬件设备和软件系统 4、计算机存储数据的基本单位为A。 A、比特Bit B、字节Byte C、字组Word D、以上都不对 5、计算机中有关ALU的描述,D是正确的。 A、只做算术运算,不做逻辑运算 B、只做加法 C、能存放运算结果 D、以上答案都不对 6、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 7、下列语句中是C正确的。 A、1KB=1024 1024B B、1KB=1024MB C、1MB=1024 1024B D、1MB=1024B 8、用以指定待执行指令所在地址的是C。 A、指令寄存器 B、数据计数器 C、程序计数器 D、累加器 9、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 10、电子计算机的算术/逻辑单元、控制单元及主存储器合称为C。 A、CPU B、ALU C、主机 D、UP 11、计算机中有关ALU的描述,D是正确的。 A、只做算术运算,不做逻辑运算 B、只做加法 C、能存放运算结果 D、以上答案都不对 12、下列D属于应用软件。 A、操作系统 B、编译程序 C、连接程序 D、文本处理 13、下列语句中是C正确的。 A、1KB=1024 1024B B、1KB=1024MB C、1MB=1024 1024B D、1MB=1024B 14、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 15、下列D属于应用软件。 A、操作系统 B、编译程序 C、连接程序 D、文本处理 16、存放欲执行指令的寄存器是D。 A、MAE B、PC C、MDR D、IR 17、用以指定待执行指令所在地址的是C。

计算机组成原理练习题答案

一、选择题 1、完整的计算机系统应包括运算器、存储器、控制器。 一个完整的计算系统应该是:硬件系统和软件系统,硬件系统应该包括运算器,控制器,存储器,输入设备和输出设备,软件系统包括系统软件和应用软件.而你给的答案中B和D是可以排除的,也就是不能选,A和C两个中A的可能性最大,答案只能选A. 3、冯. 诺依曼计算机工作方式的基本特点是按地址访问并顺序执行指令。 4、移码表示法主要用于表示浮点数中的阶码。 5、动态RAM的刷新是以行为单位的。 8、在定点运算器中产生溢出的原因是运算的结果的超出了机器的表示范围。 10、在指令的地址字段中,直接指出操作数本身的寻址方式,称为立即寻址。 11、目前的计算机,从原理上讲指令和数据都以二进制形式存放。 13、计算机问世至今,新型机器不断推陈出新,不管怎样更新,依然保有“存储程序”的概念,最早提出这种概念的是冯. 诺依曼。 16、在CPU中,跟踪后继指令地址的寄存器是程序计数器。 20、系统总线中地址总线的作用是用于选择指定的存储单元或外设。 21、计算机中的主机包含运算器、控制器、存储器。 23、原码一位乘运算,乘积的符号位由两个操作数的符号进行异或运算。 24、对于真值“0”表示形式唯一的机器数是移码和补码。 25、若[X]补=0.0100110,则[X]反= 0.0100110。--x为正数 26、在CPU中,存放当前执行指令的寄存器是指令寄存器。 保存当前正在执行的指令的寄存器称为(指令寄存器)。 指示当前正在执行的指令地址的寄存器称为(程序计数器或指令计数器)。 27、下列编码中通常用作字符编码的是ASCII码。 ASCII ASCII(American Standard Code for Information Interchange,美国信息互换标准代码)是基于拉丁字母的一套电脑编码系统。它主要用于显示现代英语和其他西欧语言。它是现今最通用的单字节编码系统,并等同于国际标准ISO/IEC 646。 28、在下列存储器中,半导体存储器可以作为主存储器。 30、在CPU中跟踪指令后继地址的寄存器是PC。 31、EPROM是指光擦除可编程的只读存储器。

《计算机组成原理》练习题

《计算机组成原理》练习题 第一章概论 一、选择题 01. 电子计算机主存内的ROM是指。 A.不能改变其内的数据 B.只能读出数据,不能写入数据 C.通常用来存储系统程序 D.以上都是 02. 有些计算机将一部分软件永恒地存于只读存储器中,称之为。 A.硬件 B.软件 C. 固件 D.辅助存储 03. 如果要处理速度、温度、电压等连续性数据可以使用。 A.数字计算机 B.模拟计算机 C.混合计算机 D.特殊用途计算机 04. 邮局把信件进行自动分拣,使用的计算机技术是。 A.机器翻译 B.自然语言理解 C.模式识别 D.过程控制 05. 冯.诺伊曼机工作方式的基本特点是。 A.多指令流单数据流 B.按地址访问并顺序执行指令 C.堆栈操作 D.存储器按内容选择地址。 06. 某寄存器中的值可能是操作数,也可能是地址,只有计算机的才能识别它。 A.译码器 B.判断程序 C.指令 D.时序信号。 07. 80年代以来,许多国家开始研究第五代计算机,这种计算机系统是。 A.超高速巨型计算机系统 B.知识信息处理系统 C.大型分布式计算机系统 D.超级微型计算机群组成的计算机网。 08. 计算机的算逻单元的控制单元合称为。 A.ALU B.UP C.CPU D.CAD 09. 磁盘驱动器读写数据的基本存取单位为。 A.比特 B.字节 C.磁道 D.扇区 二、填空题 01. 计算机硬件是指, 软件是指, 固件是指。 02. 数控机床是计算机在方面的应用。 03. 人工智能研究, 模式识别研究。

04. 计算机用来处理离散的数据,而计算机用来处理连续性的数据。 05.存储器可分为主存和,程序必须存于内,CPU才能执行其中的指令。 第二章计算机中的信息编码 一、选择题 01. 对真值0表示形式唯一的机器数是。 A.原码 B.补码和移码 C.补码 D.反码 02. 在整数定点机中,下述第说法正确。 A.原码和反码不能表示-1,补码可以表示-1。 B.三种机器数均可表示-1 C.三种机器数均可表示-1,且三种机器数的表示范围相同。 D.以上说法均不对。 03. 在小数定点机中,下述第说法正确。 A.只有补码能表示-1 B.只有原码能表示-1 C.三种机器数均不能表示-1 D.以上说法均不对 04.设X为真值,X*为其绝对值,则等式[-X*]补=[-X]补。 A.成立 B.不成立 05.设X为真值,X*为其绝对值,满足[-X*]补=[-X]补的条件是。 A.X任意 B.X为正数 C.X为负数 D.X为非负数 06.设寄存器内容为11111111,若它等于-0,则为 A.原码 B.补码 C.反码 D.移码 二、填空题 01.采用浮点表示时,若尾数为规格化形式,则浮点数的表示范围取决于的位数,精度取决于的位数,确定浮点数的正负。 02.一个浮点数,当其尾数右移时,欲使其值不变,阶码必须。尾数右移1 位,阶码。 03.一个浮点数,确定了小数点的位置,当其尾数左移时,欲使其值不变,必须使。 04.移码常用来表示浮点数的部分,移码和补码除符号位外,其他

计算机组成原理第五章单元测试(含答案)

第五章指令系统测试 1、以下四种类型指令中,执行时间最长的是()(单选) A、RR型指令 B、RS型指令 C、SS型指令 D、程序控制类指令 2、程序控制类指令的功能是()(单选) A、进行算术运算和逻辑运算 B、进行主存与CPU之间的数据传送 C、进行CPU和I/O设备之间的数据传送 D、改变程序执行的顺序 3、单地址指令中为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个常需采用的寻址方式是( )(单选) A、立即数寻址 B、寄存器寻址 C、隐含寻址 D、直接寻址 4、下列属于指令系统中采用不同寻址方式的目的主要是()(单选) A、为了实现软件的兼容和移植 B、缩短指令长度,扩大寻址空间,提高编程灵活性 C、为程序设计者提供更多、更灵活、更强大的指令 D、丰富指令功能并降低指令译码难度 5、寄存器间接寻址方式中,操作数存放在()中(单选) A、通用寄存器 B、主存 C、数据缓冲寄存器MDR D、指令寄存器 6、指令采用跳跃寻址方式的主要作用是() (单选) A、访问更大主存空间 B、实现程序的有条件、无条件转移 C、实现程序浮动 D、实现程序调用 7、下列寻址方式中,有利于缩短指令地址码长度的是()(单选) A、寄存器寻址 B、隐含寻址 C、直接寻址

D、间接寻址 8、假设某条指令的一个操作数采用寄存器间接寻址方式,假定指令中给出的寄存器编号为8,8号寄存器的内容为1200H,地址1200H中的内容为12FCH,地址12FCH中的内容为3888H,地址3888H中的内容为88F9H.则该操作数的有效地址为( ) (单选) A、1200H B、12FCH C、3888H D、88F9H 9、假设某条指令的一个操作数采用寄存器间接寻址方式,假定指令中给出的寄存器编号为8,8号寄存器的内容为1200H,地址1200H中的内容为12FCH,地址12FCH中的内容为3888H,地址3888H中的内容为88F9H.则该操作数为( ) (单选) A、1200H B、12FCH C、3888H D、88F9H 10、某计算机按字节编址,采用大端方式存储信息。其中,某指令的一个操作数的机器数为ABCD 00FFH,该操作数采用基址寻址方式,指令中形式地址(用补码表示)为FF00H,当前基址寄存器的内容为C000 0000H,则该操作数的LSB(即该操作数的最低位FFH)存放的地址是( ) (单选) A、C000 FF00H B、C000 FF03H C、BFFF FF00H D、BFFF FF03H 11、假定指令地址码给出的是操作数所在的寄存器的编号,则该操作数采用的寻址方式是( )(单选) A、直接寻址 B、间接寻址 C、寄存器寻址 D、寄存器间接寻址 12、相对寻址方式中,操作数有效地址通过( )与指令地址字段给出的偏移量相加得到(单选) A、基址寄存器的值 B、变址寄存器的值 C、程序计数器的值 D、段寄存器的值 13、下列关于二地址指令的叙述中,正确的是( ) (单选) A、运算结果通常存放在其中一个地址码所指向的位置 B、地址码字段一定是操作数 C、地址码字段一定是存放操作数的寄存器编号

计算机组成与结构习题及答案

第一章:概述 一、选择题 1.完整的计算机系统应包括__ ___。 A. 运算器、存储器、控制器 B. 外部设备和主机 C. 主机和实用程序 D. 配套的硬件设备和软件系统 2.至今为止,计算机中的所有信息仍以二进制方式表示的理由是_ __。 A. 节约元件 B. 运算速度快 C. 物理器件的性能决定 D. 信息处理方便3.从系统结构看,至今绝大多数计算机仍属于__ __型计算机。 A. 并行 B. .诺依曼 C. 智能 D. 实时处理 4.计算机外围设备是指__ ___。 A. 输入/输出设备 B. 外存储器 C. 远程通讯设备 D. 除CPU和存以外的其他设备 5.在微型机系统中,外围设备通过___ ___与主板的系统总线相连接。 A. 适配器 B. 译码器 C. 计数器 D. 寄存器 6.·诺依曼机工作的基本方式的特点是__ ____。 A. 多指令流单数据流 B. 按地址访问并顺序执行指令 C. 堆栈操作 D. 存贮器按容选择地址 7.微型计算机的发展一般是以_____技术为标志。 A. 操作系统 B. 微处理器 C. 磁盘 D. 软件 8.下列选项中,___ ___不属于硬件。 A. CPU B. ASCII C. 存 D. 电源 9.对计算机的软、硬件进行管理是__ ____的功能。 A. 操作系统 B. 数据库管理系统 C. 语言处理程序 D. 用户程序 10.下面的四个叙述中,只有一个是正确的,它是____。 A.系统软件就是买的软件,应用软件就是自己编写的软件 B.外存上的信息可以直接进入CPU被处理 C.用机器语言编写的程序可以由计算机直接执行,用高级语言编写的程序必须经过编译(解释)才能执行 D.如果说一台计算机配置了FORTRAN语言,就是说它一开机就可以用FORTRAN 语言编写和执行程序 答案:1.D 2C. 3.B. 4.D. 5.A. 6B 7.B 8. B 9. A 10. C 二、判断题 1.在微型计算机广阔的应用领域中,会计电算化应属于科学计算应用方面。 2.决定计算机计算精度的主要技术指标一般是指计算机的字长。 3.计算机“运算速度”指标的含义是指每秒钟能执行多少条操作系统的命令。 4.利用大规模集成电路技术把计算机的运算部件和控制部件做在一块集成电路芯片上,这样的一块芯片叫做单片机。 答案:1.答案:错。2.答案:对。3.答案:错。4.答案:错。

计算机组成原理练习题-答案

一、填空题 1.对存储器的要求是速度快,_容量大_____,_价位低_____。为了解决这方面的矛盾,计算机采用多级存储体系结构。 2.指令系统是表征一台计算机__性能__的重要因素,它的____格式__和___功能___不仅直接影响到机器的硬件结构而且也影响到系统软件。 3.CPU中至少有如下六类寄存器__指令____寄存器,__程序_计数器,_地址__寄存器,通用寄存器,状态条件寄存器,缓冲寄存器。 4.完成一条指令一般分为取指周期和执行周期,前者完成取指令和分析指令操作,后者完成执行指令操作。 5.常见的数据传送类指令的功能可实现寄存器和寄存器之间,或寄存器和存储器之间的数据传送。 6.微指令格式可分为垂直型和水平型两类,其中垂直型微指令用较长的微程序结构换取较短的微指令结构。 7.对于一条隐含寻址的算术运算指令,其指令字中不明确给出操作数的地址,其中一个操作数通常隐含在累加器中 8.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为 2^127(1-2^-23) ,最小正数为 2^-129 ,最大负数为 2^-128(-2^-1-2^-23) ,最小负数为 -2^127 。 9.某小数定点机,字长8位(含1位符号位),当机器数分别采用原码、补码和反码时,其对应的真值范围分别是 -127/128 ~+127/128 -1 ~+127/128 -127/128 ~+127/128 (均用十进制表示)。 10.在DMA方式中,CPU和DMA控制器通常采用三种方法来分时使用主存,它们是停止CPU访问主存、周期挪用和DMA和CPU交替访问主存。 11.设 n = 8 (不包括符号位),则原码一位乘需做 8 次移位和最多 8 次加法,补码Booth算法需做 8 次移位和最多 9 次加法。 12.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为,最小正数为,最大负数为,最小负数为。 13.一个总线传输周期包括申请分配阶段、寻址阶段、传输阶段和结束阶段四个阶段。 14.CPU采用同步控制方式时,控制器使用机器周期和节拍组成的多极时序系统。

计算机组成原理第五章答案

5 .4 教材习题解答 1.如何区别存储器和寄存器?两者是一回事的说法对吗? 解:存储器和寄存器不是一回事。存储器在CPU 的外边,专门用来存放程序和数 据,访问存储器的速度较慢。寄存器属于CPU 的一部分,访问寄存器的速度很快。 2.存储器的主要功能是什么?为什么要把存储系统分成若干个不同层次?主要有 哪些层次? 解:存储器的主要功能是用来保存程序和数据。存储系统是由几个容量、速度和价 存储系统和结构 第5 章 129 格各不相同的存储器用硬件、软件、硬件与软件相结合的方法连接起来的系统。把存储系 统分成若干个不同层次的目的是为了解决存储容量、存取速度和价格之间的矛盾。由高 速缓冲存储器、主存储器、辅助存储器构成的三级存储系统可以分为两个层次,其中高速 缓存和主存间称为Cache -主存存储层次(Cache 存储系统);主存和辅存间称为主存—辅

存存储层次(虚拟存储系统)。 3.什么是半导体存储器?它有什么特点? 解:采用半导体器件制造的存储器,主要有MOS 型存储器和双极型存储器两大类。 半导体存储器具有容量大、速度快、体积小、可靠性高等特点。半导体随机存储器存储的 信息会因为断电而丢失。 4.SRAM 记忆单元电路的工作原理是什么?它和DRAM 记忆单元电路相比有何异 同点? 解:SRAM 记忆单元由6个MOS 管组成,利用双稳态触发器来存储信息,可以对其 进行读或写,只要电源不断电,信息将可保留。DRAM 记忆单元可以由4个和单个MOS 管组成,利用栅极电容存储信息,需要定时刷新。 5.动态RAM 为什么要刷新?一般有几种刷新方式?各有什么优缺点? 解:DRAM 记忆单元是通过栅极电容上存储的电荷来暂存信息的,由于电容上的电 荷会随着时间的推移被逐渐泄放掉,因此每隔一定的时间必须向栅极电容补充一次电荷, 这个过程就叫做刷新。

计算机组成原理练习题及参考答案

1.10111000当做无符号数的值为多少,当做整数的值为多少,当做定点小数的值为多少?(十进制数) 无符号:2^7+2^5+2^4+2^3=128+32+16+8=184 整数:10111000 定点小数:10111000 11000111(取反) 11000111(取反) + 1 + 1 11001000 11001000 -(2^3+2^6)=-72 -(1/2+1/16)=-9/16 2.已知接受到的信息为001100001111,其中有效数据位为8位,运用海明码检测,问信息传输是否有错?8位的数据值是多少? 编号 检测位 数据位 12 1100 0 M8 C1=M1⊕M2⊕M4⊕M5⊕M7=0 11 1011 0 M7 C2=M1⊕M3⊕M4⊕M6⊕M7=0 10 1010 1 M6 C4=M2⊕M3⊕M4⊕M8=0 9 1001 1 M5 C8=M5⊕M6⊕M7⊕M8=0 8 1000 0 C8 7 0111 0 M4 发:0111 6 0110 0 M3 收:0000 5 0101 0 M2 发 ⊕收=0111 4 0100 1 C4 即M4出错则数据实为00111001 3 0011 1 M1 2 0010 1 C2 1 0001 1 C1 3.已知原始报文为1111,生成多项式为G (x )=x 4+x 2 +x+1,求编码后的报文 (1):将生成多项式为G (x )=x 4+x 2 +x+1,转换成对应的二进制为10111 (2)生成多项式为5(R+1)位,将原始报文左移4(R)位为11110000 (3)进行模2除 _______00011__________ ______ 10111________________00010100_____________10111_______________010010________ 10111_____1101 11110000 10111 (4)编码CRC 码为11110011 4.采用IEEE754标准的32位短浮点数格式,即0-22位为尾数,23-30位为阶码位,第1位为数符,其中阶码偏置为127,试求出32位浮点代码CC9E23AF 的真值(结果可用任何进

计算机组成原理习题解答全解

《计算机组成原理》习题解答 第1章 1. 解释概念或术语:实际机器、虚拟机器,机器指令、机器指令格式,主机、CPU、主存、I/O、PC、IR、ALU、CU、AC、MAR、MDR,机器字长、存储字长、指令字长、CPI、T C、主频、响应时间、吞吐量、MIPS、MFLOPS。 答:略 2. 如何理解计算机系统的层次结构?说明高级语言、汇编语言及机器语言的差别与联系。 答:⑴计算机系统是由软件和硬件结合而成的整体。为了提高计算机系统的好用性,程序设计语言的描述问题能力越来越强,各种程序设计语言大体上是一种层次结构,即高等级编程语言指令包含低等级编程语言指令的全部功能。 对于使用不同层次编程语言的程序员来说,他们所看到的同一计算机系统的属性是不同的,这些属性反映了同一计算机系统的不同层次的特征,即同一计算机系统可划分成多个层次结构,不同层次的结构反映的计算机系统的特征不同而已。 ⑵机器语言是能够被计算机硬件直接识别和执行的程序设计语言,机器语言是一种面向硬件的、数字式程序设计语言;汇编语言和高级语言均用符号表示机器语言指令,指令很容易阅读和编写、但不能被硬件直接识别和执行,它们均是一种面向软件的、符号式程序设计语言;相对于汇编语言而言,高级语言描述问题的能力更强;高级语言和汇编语言程序必须翻译成机器语言程序后,才能在计算机硬件上执行。 3. 计算机系统结构、计算机组成的定义各是什么?两者之间有何关系? 答:计算机系统结构是指机器语言程序员或编译程序编写者所看到的计算机系统的属性,包括概念性结构和功能特性两个方面。主要研究计算机系统软硬件交界面的定义及其上下的功能分配。 计算机组成是指计算机硬件设计人员所看到的计算机系统的属性。主要研究如何合理地逻辑实现硬件的功能。 计算机组成是计算机系统结构的逻辑实现。 4. 冯·诺依曼模型的存储程序原理包含哪些内容、对计算机硬件和软件有哪些要求?冯·诺依曼模型计算机的特点有哪些? 答:存储程序原理是指程序和数据预先存放在存储器中,机器工作时自动按程序的逻辑顺序从存储器中逐条取出指令并执行。 存储程序原理要求存储器是由定长单元组成的、按地址访问的、一维线性空间结构的存储部件;要求软件指令支持用地址码表示操作数在存储器中的地址,指令长度为存储单元长度的倍数,编程语言中必须有转移型指令,以实现程序存储顺序到程序逻辑顺序的转变。 冯·诺依曼模型计算机的特点可归纳为如下几点: ⑴计算机由运算器、控制器、存储器、输入设备和输出设备组成; ⑵存储器是由定长单元组成的、按地址访问的、一维线性空间结构; ⑶程序由指令组成,指令和数据以等同地位存放在存储器中; ⑷机器工作时自动按程序的逻辑顺序从存储器中逐条取出指令并执行; ⑸指令由操作码和地址码组成,操作码用于表示操作的性质,地址码用于表示操作数在

计算机组成原理试题库集及答案

计算机组成原理试题库集及答案

第一章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成; 指令和数据以同同等地位存放于存储器内,并可以按地址访问; 指令和数据均用二进制表示; 指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置; 指令在存储器中顺序存放,通常自动顺序取出执行; 机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU、主存、存储单元、存储元件、存储基元、存储元、存储字、存储字长、存储容量、机器字长、指令字长。 解:P9-10 主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和控制器不在同一芯片上,现在的CPU内除含有运算器和控制器外还集成了CACHE)。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。 存储字:一个存储单元所存二进制代码的逻辑单位。 存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。 机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。 指令字长:一条指令的二进制代码位数。 8. 解释下列英文缩写的中文含义:

计算机组成原理习题及答案

1、计算机硬件能直接执行的只有() A、符号语言 B、机器语言 C、机器语言和汇编语言 D、汇编语言 2、完整的计算机系统应包括 A、运算器、存储器、控制器 B、外部设备和主机 C、主机和实用程序 D、配套的硬件设备和软件系统 3、下列数中最大的数为 A、(10010101)2 B、(227)8 C、(101001)BCD D、(233)16 9、运算器虽有许多部件组成,但核心部分是 A、数据总线 B、算术逻辑运算单元 C、多路开关 D、通用寄存器 10、四片74181ALU和一片74182CLA器件相配合,具有如下进位传递功能: A、行波进位 B、组内先行进位,组间先行进位 C、组内先行进位,组间行波进位 D、组内行波进位,组间先行进位 11、在定点数运算中产生溢出的原因是 A、运算过程中最高位产生了进位或错位 B、参加运算的操作数超出了机器的表示范围 C、运算的结果的操作数超出了近期的表示范围 D、寄存器的位数太少,不得不舍弃最低有效位 12、存储器是计算机系统中的记忆设备,它主要用来 A、存放数据 B、存放程序 C、存放数据和程序 D、存放微程序 13、存储周期是指 A、存储器的读出时间 B、存储器的写入时间 C、存储器进行连续读和写操作所允许的最短时间间隔 D、存储器进行连续写操作所允许的最短时间间隔 14、某单片机字长16位,它的存储量64KB,若按字编址,那么它的寻址范围是 A、64K B、32K C、64KB D、32KB 15、某DRAM芯片,其存储容量为512K X 16位,该芯片的地址线盒数据线的数目是 A、8,512 B、512,8 C、18,8 D、19,8 16、交叉存储器实质上是一种存储器,它能执行独立的读写操作 A、模块式,并行,多个 B、模块式,串行,多个 B、整体式,并行,多个 D、整体式,串行,多个 17、主存储器和CPU之间增加cache的目的是 A、解决CPU和主存光之剑的速度匹配问题 B、扩大主存储器的容量 C、扩大CPU中通用寄存器的数量 D、既扩大主存容量又扩大CPU通用寄存器数量 18、下列因素下,与chahe的命中率无关的是 A、主存的存取时间 B、块的大小 C、cache的组织方式 D、cache的容量 19、寄存器间接寻址方式中,操作数处在 A、通用寄存器 B、主存单元 C、程序计数器 D、堆栈 20、下列几项中,不符合RISC指令系统的特点是

计算机组成原理第5章习题参考答案

第5章习题参考答案 1.请在括号填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是( IR ); (2)保存当前正在执行的指令地址的寄存器是( AR ) (3)算术逻辑运算结果通常放在( DR )和(通用寄存器)。 2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及为操作信号序列如下:

STO R1, (R2) R/W=R DR O, G, IR i R2O, G, AR i R1O, G, DR i R/W=W 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下:

PC O , G, AR i R/W=R DR O , G, IR i R 3O , G, AR i DR O , G, R 0i R/W=R LAD (R3), R0 4.假设主脉冲源频率为10MHz ,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解:

5.如果在一个CPU 周期中要产生3个节拍脉冲;T l =200ns ,T 2=400ns ,T 3=200ns ,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2、T 3的宽度为时钟周期或者是时钟周期的倍数即可。所以取时钟源提供的时钟周期为200ns ,即,其频率为5MHz.;由于要输出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下:

计算机组成习题及解答.

第1章计算机系统概论 一、选择题 1.在下列四句话中,最能准确反映计算机主要功能的是。 A.计算机可以存储大量信息 B.计算机能代替人的脑力劳动 C.计算机是一种信息处理机 D.计算机可实现高速运算 解:答案为C。 2.1946年2月,在美国诞生了世界上第一台电子数字计算机,它的名字叫ENIAC ,1949年研制成功的世界上第一台存储程序式的计算机称为EDV AC 。 3.计算机硬件能直接执行的只能是。 A.符号语言 B.机器语言 C.汇编语言 D.机器语言和汇编语言 解:答案为B。 4.运算器的核心部件是。 A.数据总线 B.数据选择器 C.累加寄存器 D.算术逻辑运算部件 解:答案为D。 5.存储器主要用来。 A.存放程序 B.存放数据 C.存放微程序 D.存放程序和数据 解:答案为D。 6.目前我们所说的个人台式商用机属于。 A.巨型机 B.中型机 C.小型机 D.微型机 解:答案为D。 7.至今为止,计算机中所含所有信息仍以二进制方式表示,其原因是。 A.节约元件 B.运算速度快 C.物理器件性能决定 D.信息处理方便 解:答案为C。 8.对计算机软、硬件资源进行管理,是的功能。 A.操作系统 B.数据库管理系统 C.语言处理程序 D.用户程序 解:答案为A。 9.企事业单位用计算机计算、管理职工工资,这属于计算机的应用领域。 A.科学计算 B.数据处理 C.过程控制 D.辅助设计 解:答案为B。 10.微型计算机的发展以技术为标志。 A.操作系统 B.微处理器 C.硬盘 D.软件 解:答案为B。 二、填空题 1.操作系统是一种(1),用于(2),是(3)的接口。 (1)A.系统程序 B.应用程序 C.用户程序 D.中间件 (2)A.编码转换 B.操作计算机 C.管理和控制计算机的资源 D.把高级语言程序翻译成机器语言程序

计算机组成原理题库

、下列描述中正确的是 A控制器能理解、解释并执行所有的指令及存储结果 B一台计算机包括输入、输出、控制、存储及算术逻辑运算五个部件 C所有的数据运算都在CPU的控制器中完成 D以上答案都正确 4、有一些计算机将一部分软件永恒的存于只读存储器中,称之为 A硬件 B软件 C固件 D辅助存储器 E以上都不对 5、输入、输出装置以及外接的辅助存储器称为() A操作系统 B存储器 C主机 D外围设备 7、完整的计算机系统应包括() A运算器、存储器、控制器 B外部设备和主机 C主机和实用程序 D配套的硬件设备和软件系统 8、计算机系统中的存储系统是指() A .RAM存储器存储器 C.主存 D.主存和辅存 19、计算机的算术逻辑单元和控制单元合称为() A. ALU B. UP C. CPU D. CAD 35、储存单元是指() A.存放一个字节的所有存储集合 B.存放一个储存字的所有存储集合 C.存放一个二进制信息的存储集合 D.存放一条指令的存储集合 36、存储字是指() A.存放在一个存储单元中的二进制代码组合 B.存放在一个存储单元中的二进制代码位数 C.存储单元的集合 D.机器指令 39、存放执行执行指令的寄存器是() 有些计算机将一部分软件永恒地存于只读存储器中,称为(A) 15.计算机将存储,算逻辑运算和控制三个部分合称为(A),再加上(B)和(C)就组成了计算机硬件系统。 目前被广泛使用的计算机是()

A.数字计算机 B.模拟计算机 C.数字模拟混合式计算机 D.特殊用途计算机 9.个人计算机(PC)属于()类计算机。 A.大型计算机 B.小型机 C.微型计算机 D.超级计算机、操作系统最早出现在第(A)代计算机上。 计算机使用总线结构便于增减外设,同时() A.减少了信息传输量 B.提高了信息的传输速度 C.减少了信息传输线的条数 2.计算机使用总线结构的主要优点是便于实现积木化,缺点是() A.地址信息,数据信息和控制信息不能同时出现 B.地址信息与数据信息不能同时出现 C.两种信息源的代码在总线中不能同时传送 5.在三中集合式总线控制中,()方式响应时间最快。 A.链式查询 B.计数器定时查询 C.独立请求 8.三种集合式总线控制中,()方式对电路故障最敏感的 A.链式查询 B.计数器定时查询 C.独立请求 13.在独立请求方式下,若有N个设备,则() A.有一个总线请求信号和一个总线响应信号 B.有N个总线请求信号和N个总线响应信号 C.有一个总线请求信号和N个总线响应信号 14.在链式查询方式下,若有N个设备,则() A.有N条总线请求线 B.无法确定有几条总线请求线 C.只有一条总线请求线

计算机组成原理题库

综合题 1. 设存储器容量为32字,分为M0-M3四个模块,每个模块存储8个字,地址分配方案分别如下图中图(a)和图(b)所示。 (1)(a)和(b)分别采用什么方式进行存储器地址编址? (2)设存储周期T=200ns,数据总线宽度为64位,总线传送周期τ=50ns。问(a)和(b)两种方式下所对应的存储器带宽分别是多少(以Mb/s为单位)? 2.假设某机器有80条指令,平均每条指令由4条微指令组成,其中有一条取指微指令是所有指令公用的,已知微指令长度为32位,请估算控制存储器的容量是多少字节? 3. (1)用16K×8位的SRAM芯片形成一个32K×16位的RAM区域,共需SRAM芯片多少片? (2)设CPU地址总线为A15~A0,数据总线为D15~D0,控制信号为R/W(读/写)、MREQ(允许访存)。SRAM芯片的控制信号有CS和WE。要求这32K×16位RAM 区域的起始地址为8000H,请画出RAM与CPU的连接逻辑框图。

*4 CPU执行一段程序时,Cache完成存取的次数为3800次,主存完成存取的次数为200次,已知Cache存取周期为50ns,主存为250ns, 求(1)Cache命中率。(2)平均访问时间(3)Cache/主存系统的效率。 5.已知某机采用微程序控制方式,其控制存储器容量为512*48(位)。微程序可在整个存储器中实现转移,可控制微程序转移的条件共4个,微指令采用水平型格式,后继微指令地址采用断定方式,如下图所示。 (1)微指令中的三个字段分别应为多少位? (2)画出围绕这种微指令格式的微程序控制器逻辑框图。 6.用2M×8位的SRAM芯片,设计4M×16位的SRAM存储器,试画出存储器芯片连接图。 *7.某计算机系统的内存储器由cache和主存构成,cache的存储周期为30ns,主存的存取周期为150ns。已知在一段给定的时间内,CPU共访问内存5000次,其中400次访问主存。问: ① cache的命中率是多少? ② CPU访问内存的平均时间是多少纳秒?

相关文档
相关文档 最新文档