文档库 最新最全的文档下载
当前位置:文档库 › EDA大作业格式与范例

EDA大作业格式与范例

EDA大作业格式与范例
EDA大作业格式与范例

五邑大学信息工程学院

EDA技术

课程名称:EDA技术

设计题目:基于Verilog HDL语言的

可整点输出学号系统

院系:信息工程学院

班级:

设计者:

学号:

指导教师:刘战

设计时间:2013.06.09

五邑大学

电子系统EDA

目录

第一章引言 (3)

1.1 状态机简介 (3)

1.2 状态检测 (3)

1.3V erilog HDL硬件描述语言简介 (4)

1.4V erilog HDL硬件描述语言主要功能简介 (4)

第二章状态检测机设计 (6)

2.1 状态检测机设计要求 (6)

2.2 状态检测机设计思路 (6)

2.3 利用Quartus II软件仿真实现 (6)

2.3.1 电路变量分析 (6)

2.3.2 新建工程moore (7)

2.3.3 设计输入 (7)

2.3.4 Verilog语言描述 (7)

2.3.5 仿真电路图 (8)

2.3.6 状态装换图 (9)

2.3.7创建波形文件 (9)

2.3.8Quartus II仿真设置 (10)

2.3.9仿真结果 (10)

第三章总结 (11)

参考文献 (12)

第一章引言

1.1状态机简介

有限状态机是绝大部分控制电路的核心结构。有限状态机是一种概念性机器,它能采取某种操作来响应一个外部事件。具体采取的操作不仅能取决于接收到的事件,还能取决于各个事件的相对发生顺序。之所以能做到这一点,是因为机器能跟踪一个内部状态,它会在收到事件后进行更新。为一个事件而响应的行动不仅取决于事件本身,还取决于机器的内部状态。另外,采取的行动还会决定并更新机器的状态。这样一来,任何逻辑都可建模成一系列事件/状态组合。

状态机可归纳为4个要素,即现态、条件、动作、次态。这样的归纳,主要是出于对状态机的内在因果关系的考虑。“现态”和“条件”是因,“动作”和“次态”是果。详解如下:

①现态:是指当前所处的状态。

②条件:又称为“事件”,当一个条件被满足,将会触发一个动作,或者执行一次状态的迁移。

③动作:条件满足后执行的动作。动作执行完毕后,可以迁移到新的状态,也可以仍旧保持原状态。动作不是必需的,当条件满足后,也可以不执行任何动作,直接迁移到新状态。

④次态:条件满足后要迁往的新状态。“次态”是相对于“现态”而言的,“次态”一旦被激活,就转变成新的“现态”了。

状态机可以分为以下两种类型:

Moore型:输出是当前状态的函数。

Mealy型:输出是当前状态和输入的函数。

Mealy型状态机输出的变化先于Moore型状态机。具体来说,Mealy型状态机的输出在输入变化的时候立刻发生变化;而Moore型状态机在输入变化后,还必须等到时钟的到来,时钟使状态发生变化时才导致输出的变化,所以比Mealy型状态机要多等待一个时钟周期。

1.2状态检测

所谓状态检测,就是直接对分组的数据进行处理,并且结合前后分组的数据进行综合判断,然后决定是否允许该数据包通过。

状态检测技术的发展由来已久,早期的电报即可看作是基于状态检测的通讯手段。近年来,随着计算机技术与互联网的不断发展,数据传送量已经变得越来越大,如何保证接收到的数据准确无误,已经受到人们越来越多的关注。为了解决这一问题,科学家和工程师们已经研发出了很多种检错与纠错码,而这些技术

的实现又肯定离不开状态检测技术的应用,因此状态检测技术在数据传送中起着十分重要的作用。当然状态检测技术不仅应用于数据传送领域,还广泛应用于其他领域,这里不作赘述。

1.3V erilog HDL硬件描述语言简介

Verilog HDL是一种硬件描述语言,用于从算法级、门级到开关级的多种抽象设计层次的数字系统建模。被建模的数字系统对象的复杂性可以介于简单的门和完整的电子数字系统之间。数字系统能够按层次描述,并可在相同描述中显式地进行时序建模。

Verilog HDL描述逻辑电路时常用3种描述方式,分别为:行为型描述、数据流型描述和结构型描述。行为型描述指对行为与功能进行描述,它只描述行为特征,而没有涉及用什么样的逻辑电路来实现,因此是一种高级语言描述方式,具有很强的通用性与有效性;数据流型描述指通过assign连续赋值实现组合逻辑功能的描述方式;结构型描述指描述实体连接的结构方式,这里的实体一般指Verilog语言已定义的基元,也就是说结构型描述指利用Verilog语言已定义的基元描述逻辑电路的描述方式。

Verilog HDL的3种描述方式中,行为型描述方式注重整体与功能,语句可能更简略,但写出来的语句可能不能被硬件所实现,即不能被综合;结构型语句通常更容易被综合,但可能语句显得更复杂。在实际开发中往往结合使用多种描述方法。

Verilog HDL语言不仅定义了语法,而且对每个语法结构都定义了清晰的模拟、仿真语义。因此,用这种语言编写的模型能够使用Verilog仿真器进行验证。语言从C编程语言中继承了多种操作符和结构。Verilog HDL提供了扩展的建模能力,其中许多扩展最初很难理解。但是,Verilog HDL语言的核心子集非常易于学习和使用,这对大多数建模应用来说已经足够。当然,完整的硬件描述语言足以对从最复杂的芯片到完整的电子系统进行描述。

1.4V erilog HDL硬件描述语言主要功能简介

*基本逻辑门,例如and、or和nand等都内置在语言中。

*用户定义原语(UDP)创建的灵活性。用户定义的原语既可以是组合逻辑原语,也可以是时序逻辑原语。

* 开关级基本结构模型,例如pmos 和nmos等也被内置在语言中。

* 提供显式语言结构指定设计中的端口到端口的时延及路径时延和设计的时序检查。

* 可采用三种不同方式或混合方式对设计建模。这些方式包括:行为描述方式—使用过程化结构建模;数据流方式—使用连续赋值语句方式建模;结构

化方式—使用门和模块实例语句描述建模。

* Verilog HDL中有两类数据类型:线网数据类型和寄存器数据类型。线网类型表示构件间的物理连线,而寄存器类型表示抽象的数据存储元件。

* 能够描述层次设计,可使用模块实例结构描述任何层次。

* 设计的规模可以是任意的;语言不对设计的规模(大小)施加任何限制。* Verilog HDL不再是某些公司的专有语言而是IEEE标准。

* 人和机器都可阅读Verilog 语言,因此它可作为EDA的工具和设计者之间的交互语言。

* Verilog HDL语言的描述能力能够通过使用编程语言接口(PLI)机制进一步扩展。PLI是允许外部函数访问Verilog 模块内信息、允许设计者与模拟器交互的例程集合。

* 设计能够在多个层次上加以描述,从开关级、门级、寄存器传送级(RTL)到算法级,包括进程和队列级。

* 能够使用内置开关级原语在开关级对设计完整建模。

* 同一语言可用于生成模拟激励和指定测试的验证约束条件,例如输入值的指定。

* Verilog HDL 能够监控模拟验证的执行,即模拟验证执行过程中设计的值能够被监控和显示。这些值也能够用于与期望值比较,在不匹配的情况下,打印报告消息。

* 在行为级描述中,Verilog HDL不仅能够在RTL级上进行设计描述,而且能够在体系结构级描述及其算法级行为上进行设计描述。

* 能够使用门和模块实例化语句在结构级进行结构描述。

* Verilog HDL 的混合方式建模能力,即在一个设计中每个模块均可以在不同设计层次上建模。

* Verilog HDL 还具有内置逻辑函数,例如&(按位与)和|(按位或)。

* 对高级编程语言结构,例如条件语句、情况语句和循环语句,语言中都可以使用。

* 可以显式地对并发和定时进行建模。

* 提供强有力的文件读写能力。

* 语言在特定情况下是非确定性的,即在不同的模拟器上模型可以产生不同的结果;例如,事件队列上的事件顺序在标准中没有定义。

第二章状态检测机设计

2.1 状态检测机设计要求

设计一个基于Verilog HDL语言的状态检测机,其可以对输入的一串二进制数进行检测,用于检测序列中连续3个或3个以上的1。当检测到3个或3个以上的1时机器输出1,否则输出0。其状态转换图和状态转化表如下:

图1状态检测机状态转换图

表格1状态检测机状态转换表

要求编写设计源程序,并生成仿真电路图和状态转换表,最后通过仿真实验验证设计的可靠性并给出仿真波形图。

2.2 状态检测机设计思路

根据状态检测机的状态转换图,可以确定本系统应选用moore型状态机,即状态机的输出仅受当前状态的控制,而与输入无关。

2.3 利用Quartus II软件仿真实现

2.3.1电路变量分析

clk:时钟输入;

din:检测输入;

op:检测输出;

state:状态输出。

2.3.2新建工程moore

选择File>New Project Wizard,新建工程文件,输入工程名为moore(注意此处工程名与工程的顶层实体名必须一致)。在Available devices列表中选择FPGA 型号EP2C70F896C6(本实验为仿真实验,此处不作硬性要求)。

2.3.3设计输入

在当前工程下,选择File>New菜单,接着选择Verilog HDL File,单击OK,打开Text Editor。选择File>Save as菜单,将文件保存到工程下,文件名为moore,保存类型为Verilog HDL File,则生成moore.v文件。

2.3.4 Verilog语言描述

利用Verilog HDL语言编写的源程序如下:

modulemoore(clk,din,op,state);

inputclk,din;

output op;

output[1:0] state;

reg op;

reg[1:0] current_state,next_state;

parameter S0=2'b00,S1=2'b01,S2=2'b10,S3=2'b11;

assign state=current_state;

always@(posedgeclk)

begin

current_state<=next_state;

end

always@(current_state or din)

begin

case(current_state)

S0:

begin

op=0;

if(!din) next_state=S0;

elsenext_state=S1;

end

S1:

begin

op=0;

if(!din) next_state=S0;

elsenext_state=S2;

end

S2:

begin

op=0;

if(!din) next_state=S0;

elsenext_state=S3;

end

S3:

begin

op=1;

if(!din) next_state=S0;

elsenext_state=S3;

end

default:

begin

op=0;

next_state=S0;

end

endcase

end

endmodule

2.3.5仿真电路图

保存上述源程序,进行综合编译。编译无误后,生成不同层面的仿真电路图如下图2、图3所示:

图2状态检测机的RTL Viewer

图3状态检测机的Technology Map Viewer

2.3.6 状态装换图

将图2中的current_state打开或直接在moore工程下生成State Machine Viewer 文件,即可得到状态检测机的状态装换图如下图4所示:

图4状态检测机的状态装换图

2.3.7 创建波形文件

在同一工程下创建波形文件(Vector Waveform File)moore.vwf,设置终止时间End Time为200ns。波形文件如下图5所示:

图5状态检测机仿真Vector Waveform File

2.3.8 Quartus II仿真设置

选择Assignments>Settings菜单,打开Settings窗口,单击Simulator Settings,将Simulation设置为Functional,完成设置。用Processing>Generate Functional Simulation Netlist菜单产生功能仿真所需的网表。

2.3.9 仿真结果

单击仿真按钮启动功能仿真。仿真结果如下图6所示:

图6状态检测机仿真结果

从上图6可以看出,该状态检测机默认初始状态为S0;当时钟clk上升沿到来时,如果检测到输入信号din为1,则状态机的当前状态current_state将由S0变化至S1或由S1变化至S2或由S2变化至S3或由S3变化至S3;当连续3个或3个以上上升沿到来时检测到输入1,则状态检测机输出op为1,表示检测到目标信号。由此可见,该状态检测机的设计符合设计要求。

第三章总结

通过此次对Verilog HDL语言的学习,我认识到了可编程逻辑器件在当前生产科研中的重要地位,尤其是在一些逻辑功能十分复杂的电路设计时,采用Verilog HDL语言设计可大大简化设计流程,降低设计难度。Verilog HDL语言为我们提供了许多强大的功能,可以利用它来简单的实现组合逻辑电路、时序逻辑电路以及基于状态机的各种逻辑电路设计,简单可靠。

通过此次对Quartus II软件的学习,我认识到该软件是一款简单高效的应用软件,它广泛应用于VHDL和Verilog HDL语言源程序的编写。Quartus II软件内部提供了许多强大的功能,可以利用它来进行电路的分析与仿真(在最新版本的Quartus II中仿真功能已经弱化),同时也可以利用它来与其他第三方EDA器件联合使用,实现软硬件的结合。

通过此次仿真实验—基于Verilog HDL语言的519进制计数器设计,我掌握了Quartus II软件的基本使用方法以及Verilog HDL语言的语法特点,可以初步编写一些逻辑功能较为简单的源程序。同时,通过此次实验,我掌握了设计工作的完整流程,为以后的课程设计积累了宝贵的经验。最后,实验过程中难免遇到一些问题,我们要冷静分析,找出原因。总之,通过此次实验,我学到了许多有益的知识。

参考文献

[1]杨春玲,王淑娟主编.数字电子技术基础.北京:高等教育出版社,2011.06

[2]张鹤鸣,刘耀元主编.可编程控制器原理及应用教程[M].北京大学出版社,出版时间:2007.2

[3].马小军主编.可编程控制器及应用.南京:东南大学出版社,2007.4

[4].瞿大中主编.可编程控制器应用与实验.武汉:华中科技大学出版社,2002.12

[5].李树雄主编.可编程控制器原理及应用教程.北京:航空航天大学出版社,2003.9

[6].程周主编.可编程控制器原理与应用.北京:高等教育出版社,2003.4

[7].李长久主编.PLC原理及应用.北京:机械工业出版社,2006.8

[8].廖常初主编.FX系列PLC编程及应用.北京:机械工业出版社,2005.4

[9].张兴国主编.可编程序控制器技术及应用.北京:中国电力出版社,2006

[10].罗光伟主编.可编程控制器教程.成都:电子科技大学出版社,2007.2

[11].王也仿主编.可编程控制器应用技术.北京:机械工业出版社,2001.9

毕业大作业撰写格式

毕业大作业撰写格式细则 一.封面: 学校统一模板,按要求填写打印。 二.摘要和关键词:在摘要下方另起一行注明论文的关键词3---5个,每个关键词之间用分号分开。外文摘要应与中文摘要对应,外文关键词用分号隔开。 (1)“摘要”字样:黑体小三号,居中,上空一行。 (2)摘要正文:宋体小四号,1.5倍行距。 (3)关键词:“关键词”居行首,黑体小三号;关键词的具体内容为宋体小四号,1.5倍行距。 (4)外文题目、摘要和关键词的字体为“Times New Roman”,字号、行间距等与中文相一致。 三.目录:目录页中每行均由标题名称和页码组成,包括中外文摘要和关键词、主要内容的章、节序号和标题、参考文献、致谢、附录等。标题一般写到三级,不出现四级。目录字样宋体小四、行距1.5倍。 四.正文:正文段落和标题一律取“1.5倍行距”,不设段前与段后间距,宋体小四号字。具体格式及要求如下: 一级标题1黑体小三号 二级标题 1.1 黑体四号 三级标题 1.1.1 黑体小四号 正文宋体小四号 表题与图题黑体五号 参考文献黑体小三号 参考文献正文宋体五号、单倍行距 结束语黑体小三号 结束语正文宋体小四号 致谢黑体小三号 致谢正文宋体小四号 附录黑体小三号 附录正文宋体小四号

注:分级阿拉伯数字的编号一般不超过三级,两级之间用下角圆点隔开,每一级编号的末尾不加标点。 五.页面设置:每页的版面、页眉[宁夏理工学院毕业大作业;宋体五号]、页脚套用统一的毕业设计(论文)格式,不可以更改页眉、页脚、及左右边距。版面上空2.5cm,下空2cm,左空2.5cm,右空2cm。摘要和目录的页码采用大写罗马数字编写,如Ⅰ、Ⅱ、Ⅲ、Ⅳ、……。从正文开始采用阿拉伯数字编写页码,如-1-、-2-、-3-、……,页码位于页面正下方。每一章均重新开始一页。章标题前空一行。正文段落和标题一律取“1.5倍行距”,不设段前与段后间距。 六.图表编号:文中图、表只用中文图题、表题;每幅插图应有图序和图题,图序和图题应放在图位下方居中处,图序和图题一般用黑体五号字。图的编号由“图”和阿拉伯数字组成,阿拉伯数字由前后两部分组成,中间用“.”号分开,前部分数字表示图所在章的序号,后部分数字表示图在该章的序号。例如“图2.3”、“图3.10”等;每个图号后面都必须有图题,图的编号和图题要置于图下方的居中位置。 每个表格应有自己的表序和表题,一般用黑体五号字,表的编号方法同图的编号方法相同,例如“表1.6”、“表2.3”等;表的编号和表题要置于表上方的居中位置;如某个表需要转页接排,在随后的各页上要重复表的编号,编号后跟表题(可省略)或跟“(续)”,如表1.2(续)。续表均要重复表的编排。 对于函数曲线图,请注意检查横纵坐标的变量名、单位、刻度值是否完整(对于无量纲化或无单位的,请注明“无单位”),不同线型或图符说明应完整,变量名和单位之间用“/”分开。表的宽度不得超过版面文字的宽度,表一律要求采用三线表,表中参数及单位用“/”分开。 七.计量单位:毕业设计(论文)中的量和单位必须符合中华人民共和国的国家标准GB3100~GB3102-93,它是以国际单位制(SI)为基础的。非物理量的单位,如件、台、人、元等,可用汉字与符号构成组合形式的单位,例如件/台、元/km。力求单位名称全文统一,不混淆使用中英文单位名称。 八.标点符号:毕业设计(论文)中的标点符号应按新闻出版署公布的“标点符号用法”使用。 九.数字与英文字符:毕业设计(论文)中的测量、统计数据一律用阿拉伯数字;在叙述中,一般不宜用阿拉伯数字。 全文中的英文字符均采用Times New Roman字体,字号与所在的文字段对应。 十.名词、名称:科学技术名词术语尽量采用全国自然科学名词审定委员会公布的规范词或国家标准、部标准中规定的名称,尚未统一规定或叫法有争议的名词术语,可采用惯用的名称。使用外文缩写代替某一名词术语时,首次出现时应在括号内注明全称。外国人名一般采用英文原名,按名前姓后的原则书写。一般很熟知的外国人名(如牛顿、爱因斯坦、达

网页设计大作业word模板

伊犁师范学院《网页设计》期末课程设计 设计题目:我的个人网页 学号:018 姓名:马建武 院系:电子与信息工程学院 专业班级:计科08-2 指导老师:王雪峰 日期:2011-6-20

一、设计思想 随着网络技术的飞速发展,网络已经遍及每个人的身边,通过个人网页把自己展示在网络上,不仅可以获得更多的朋友,有用的信息,也是跟随时代发展,做走在时代前沿的一个有效方式,本网站旨在设计一个个性化的个人网站,展现自己的生活,学习,爱好等等信息,通过本网站把自己展示出来。 二、开发环境及软件 Windows XP,Macromedia Dreamweaver 8,photoshop等 三、系统结构功能图、页面结构草图及部分页面截图 四、部分代码(主要添加CSS代码) 1.添加首页背景音乐 2.运用框架

3.图片滚动 <td colspan="5" valign="top"> <marquee direction="left" loop="-1"><img src="../photos/图像" width="134" height="133"><img src="../photos/图像" width="134" height="133"><img src="../photos/图像" width="134" height="133"> </marquee> </td> 4.文本css样式 .s1 { font-family: "宋体"; font-size: 18px; font-weight: bold; color: #3333CC; text-decoration: blink; text-align: center;</p><h2>verilog硬件描述语言 上机</h2><p>《硬件描述语言》上机作业西电微电子 \</p><p>第一题:用Verilog语言的结构描述和行为描述分别设计下面的电路。 A[0] Array B[0] A[1] B[1] A[2] B[2] 结构描述: 电路设计: module hw1(A,B,Y); input[2:0] A,B; output Y; wire w1,w2,w3; xor U1(w1,A[0],B[0]); xor U2(w2,A[1],B[1]); xor U3(w3,A[2],B[2]); nor U4(Y,w1,w2,w3); endmodule 仿真测试: module test_hw1; reg[2:0] A,B; wire Y; hw1 U1(A,B,Y); initial begin A=3'b000;B=3'b000; #50 A=3'b000;B=3'b000; #50 A=3'b111;B=3'b111; #50 A=3'b000;B=3'b110;</p><p>#50 A=3'b111;B=3'b000; #50 A=3'b110;B=3'b110; #50 A=3'b011;B=3'b010; #50 A=3'b001;B=3'b011; #50 A=3'b111;B=3'b010; #50 $stop; end initial $monitor($time,"\tA=%d\tB=%d\tY=%d",A,B,Y); Endmodule 行为描述: 电路设计: module hw2(A,B,Y); input[2:0] A,B; output Y; wire Y; assign Y=~((A[0]^B[0])||(A[1]^B[1])||(A[2]^B[2])); endmodule 仿真测试:</p><h2>毕业大作业格式要求及封面</h2><p>4、毕业大作业(论文)的撰写 (1)毕业大作业(论文)的框架与要求: a、题目:应简短、明确、有概括性,可分为主标题和副标题,一般不超过20个字。 摘要 b、目录:两级目录。 c、引言:应说明课题的来源、目的、意义,对本课题已有研究情况的评述,本课题欲解决的主要问题,采用的手段、方法,所需条件,成果及意义等。 d、正文:对设计或研究做详细表述(计量单位统一用国际标准制,引用的数据或重要论断要注明出处)。 引言、正文均另起一页。 e、主要参考文献:按正文引用的先后顺序列出,包括文献编号和文献出处。 对于书籍和专著应按下列顺序注明:作者、书名、版本,出版地、出版者、出版年,引用内容所在页码; 对于论文应按下列顺序注明:作者、论文篇目、刊物名,年、月、卷(期),论文在刊物中的页码; f、附录:附录不宜放在正文中,但有参考价值的内容,如数据表格、公式推导、设计图纸、外文文献译文等。 g、结束语:以简短文字对工作中帮助自己的人表示感谢。 (2)篇幅:专科生为6000-8000字。 (3)毕业大作业(论文)上交时,必须按统一格式打印:用A4纸、仿宋小4号字打印,其中题目用仿宋加粗3号字打印,每页44行,每行34字即68字符,每页需加页眉、页脚[页眉:北京科技大学管庄校区毕业设计(论文)、(仿宋5号字),页脚:第X页共X 页(居中排、仿宋5号字)],并用封面装订成册。上述内容同时需制成软盘,装入论文封底专用袋内。 装订次序:毕业大作业封面、摘要、目录、引言、正文、主要参考文献、附录、结束语、封底。 毕业大作业(论文)一式两份并要求交电子版,教研室存。优秀毕业大作业(论文)需再交一份存校区图书馆。</p><h2>蔡觉平老师西电Verilog HDL上机大作业(硬件描述语言)_微电子学院</h2><p>《Verilog HDL 数字集成电路设计原理与应用》上机作业 班级:******* 学号:******* 姓名:******* 题目1:数字集成电路的verilog HDL 描述与仿真。 要求:(1)学习使用Modelsim 设计和仿真软件; (2)练习教材7.2.1中的例子; (3)掌握设计代码和测试代码的编写; (4)掌握测试仿真流程; (5)掌握Modelsim 软件的波形验证方式。 解答: 题目2: 简述begin-end 语句块和fork-join 语句块的区别,并写出下面信号对应的程序代码 A B</p><p>解答: (1)begin-end语句块和fork-join语句块的区别: 1、执行顺序:begin-end语句块按照语句顺序执行,fork-join语句块所有语句均在同一时刻执行; 2、语句前面延迟时间的意义:begin-end语句块为相对于前一条语句执行结束的时间,fork-join语句块为相对于并行语句块启动的时间; 3、起始时间:begin-end语句块为首句开始执行的时间,fork-join语句块为转入并行语句块的时间; 4、结束时间:begin-end语句块为最后一条语句执行结束的时间,fork-join语句块为执行时间最长的那条语句执行结束的时间; 5、行为描述的意义:begin-end语句块为电路中的数据在时钟及控制信号的作用下,沿数据通道中各级寄存器之间的传送过程。fork-join语句块为电路上电后,各电路模块同时开始工作的过程。 (2)程序代码: Begin-end语句: module initial_tb1; reg A,B; initial begin A=0;B=1; #10 A=1;B=0; #10 B=1; #10 A=0; #10 B=0; #10 A=1;B=1; end endmodule Frk-join语句: module wave_tb2; reg A,B; parameter T=10; initial fork A=0;B=1; #T A=1;B=0; #(2*T) B=1; #(3*T) A=0; #(4*T) B=0; #(5*T) A=1;B=1; join endmodule</p><h2>专科生大作业(论文)范文</h2><p>远程与继续教育学院 专科毕业大作业 题目:企业应收账款管理与赊销决策研究 ______________________________________ 站点:盐城_______________________________ 指导教师:学习中心指定________________________ 学号:3200812310330 专业:会计 年级:2013年秋季 姓名:XXX 2015年5月</p><p>专科毕业大作业诚信承诺书本人郑重承诺网络教育专科层次会计专业的毕业论文《企业应收账款管理与赊销决策研究》的主要观点和思想系本人独立思考完成,并在此申明我愿承担与上述承诺相违背的事实所引起的一切消极后果。 签名:手签! 2015年5月**日</p><p>摘要 本论文以笔者会计从业经验载体,从企业应收帐款的本质入手,对应收帐款的本质、应收帐款的功能和作用以及应收帐款管理的功能和作用进行了详细的阐述,着重说明了应收帐款管理对企业控制信用风险的重要性。其次简单、扼要的说明了企业信用风险产生的原因和企业信用风险管理的控制模式。本论文重点从两方面讲述了信用风险管理中应收帐款的管理,首先要建立客户信用风险防范机制,谨慎选择客户,制定的完善信用管理制度。第二是要完善应收帐款日常管理的内部流程控制,合理分工,明确职责,建立应收帐款坏帐准备制度,加强收帐管理等。最终,通过信用风险的管理达到促进应收帐款管理的目的。应收账款的风险伴随应收账款的出现相应而生,它是指应收账款款项长期难以收回时影响企业的效益,甚至形成呆账、坏账的不确定性。企业在发展的过程中,应收账款的风险是客观存在且对财务状况影响较大。只有通过合理的分析与规避,才能有效发挥应收账款的积极作用。本论文也是笔者在工作中的一些心得体会,试在分析应收账款的风险产生的主要原因,解析应收账款风险对企业的影响,针对企业应收账款管理中存在的问题探索并提出了相应的防范与管理对策。</p><h2>大作业报告格式</h2><p>《供配电技术课程大作业》 报告书 题目:高低压电气设备的 维护与故障处理指导教师: 姓名: 学号: 日期: 机电工和系2013-2014学年第2学期</p><p>报告书格式要求: 一、报告前置部分 (一)摘要内容包括研究目的、方法、结果、结论(300字~400字)四部分 (二)格式要求 1.中文摘要: “摘要”(黑体三号,居中),摘要正文(居左,首行缩进两字,宋体五号)。“关键词”(黑体小四号,居左顶格,单独占行),关键词正文(宋体五号),关键词为报告研究内容3~8核心专有名词,词与词之间用分号间隔。 2.外文摘要:独占一页 “Abstract”(Times New Roman,三号,加粗,居中),Abstract正文(居左顶格,Times New Roman,五号); “Key words”(Times New Roman,小四号,加粗,居左顶格,单独占行),Key words正文(居左顶格,Times New Roman,五号),与中文关键词对应,词与词之间用分号间隔。 二、报告主体部分 (一)正文格式要求 1.页眉(宋体,五号,居中),由“学生姓名:论文题目”格式构成。 2.页码(页面底端(页脚),右侧)。 3.章条序码(阿拉伯数字,小圆点间隔,末尾不加小圆点,左顶格,编号后空一个字距)第一级0,1, 2, 3,…(黑体,小二号) 第二级1.1,1.2,… 2.1,2.2,… 3.1,3.2,……(黑体,小三号) 第三级 1.1.1,1.1.2,…1.2.1,1.2.2,…2.1.1,2.1.2,…2.2.1, 2.2.2,… 3.1.1,3.1.2,…3.2.1,3.2.2,……(黑体,四号) 如在条以下仍需分层,则通常用a,b,…或1),2),…编序,左空2个字距。 4.前言、引言不编序号 (二)图、表及公式格式要求 1.图表字体(宋体、五号),图表名(中外文对照、宋体、五号、居中),图表按章编号(如图1-1、表2-2等),图编号及图名置下,表编号及表名置上。 插图宽度不宜超过10cm,有刻度的坐标图不加箭头,标值线朝里,其标值数字尽量不超过3位数(如用30km代替30000m)或小数以后不多于一个“0”(如用5μg 代替0.005mg);标目中的物理量的符号用斜体,单位符号用正体,纵坐标标目、标值逆时针旋转九十度书写;图中坐标线、尺寸线、引线0.5磅,轮廓线、函数线等主要部分0.75磅;文中图片要清晰。 表格的绘制均用三线表,表内无斜线、竖线,结构比较复杂的表可增加不通长的辅助线;表头中量的写法要规范,量的表示法不允许出现两条斜线(如:动量矩单位kg.m2/s,在表中应为L/kg.m2.s-1);表中“空白”代表未测或无此项,“-”代表未发现,“0”代表实测数据为零。 2.公式。公式统一用Microsoft公式3.0在系统默认状态下编辑,居中放置,其前的“解”、“假设”等文字顶格书写,公式序号按章排,加圆括号,居行尾。如“(1-1)”、“(2-1)”。公式换行书写时与等号对齐,凡正文中未提到的公式可不排序。 (三)引用和注释 1.引用。引用参考文献,在正文引用位置右上角标“[1]”、“[2]”,依据出现先后次序流水编号,相同文献多处引用,统一用首次编号。</p><h2>C语言大作业报告范文</h2><p>学院XX学院</p><p>目录 1 摘要 (3) 1.1设计题目 (3) 1.2设计容 (3) 1.3开发工具 (3) 1.4应用平台 (3) 2 详细设计 (3) 2.1程序结构 (3) 2.2主要功能 (3) 2.3函数实现 (3) 2.4开发日志 (4) 3 程序调试及运行 (4) 3.1程序运行结果 (4) 3.2程序使用说明 (4) 3.3程序开发总结 (4) 4 附件(源程序) (4)</p><p>1 摘要 1.1 设计题目 (A)求最大数;(B)高次方数的尾数 1.2 设计容 (A)求555555的约数中最大的三位数; (B)求13的13次方的最后三位数1.3 开发工具 Visual C++ 6.0和Win32。 1.4 应用平台 Windows XP 32位 2 详细设计 2.1 程序结构 (A)求最大数</p><p>定义变量a、b、c,a从100至999递增,b为555555,用b除以a,判断是否可以整除,若可以,则把a的值赋给c,a自加1;若不可,a自加1。重复以上步骤,直到a>999,输出c。循环语句采用for 循环。 (B)高次方数的尾数</p><p>定义变量i、j,i从1至13递增,j初值为1。用j乘以13,用得到的乘积除以1000并取其余数,赋给j,i自加1。重复以上步骤,直到i>13,输出j。循环语句采用for循环。</p><p>2.2 主要功能 程序功能:(A)求555555的约数中最大的三位数; (B)求13的13次方的最后三位数。 原理和方法: (A)题目的原理和方法:因为要求的是三位数,就用555555从小到大依次除以100到999的所有数,并判断能否整除,最后一个可以整除555555的数即为所求。循环语句采用for循环。 (B)题目的原理和方法:乘积的最后三位数只与乘数和被乘数的后三位数有关,因此用1乘以13,再除以1000并取余数,用余数乘以13,再除以1000并取余数,依次进行下去,累乘13个13后除以1000取得的余数即为所求。循环语句采用for循环。 2.3 函数实现 (A)求最大数 int a,b=555555,c; /*定义变量,赋初值*/ for(a=100;a<=999;a++) /*FOR循环*/ { if(b%a==0) /*利用IF语句判断b是否可以被a整除*/ c=a; /*将555555的约数赋给c*/ } printf("%d\n",c); /*输出c*/ (B)高次方数的尾数 int i,j=1; /*定义变量,赋初值*/ for(i=1;i<=13;i++) /*FOR循环*/ { j=j*13%1000; /*将j乘以13的乘积的后三位数赋给j*/ } printf("%d\n",j); /*输出j*/ 2.4 开发日志 (A)选定这个题目后,我先分析此题用何种算法完成,确定了使用FOR循环并限定除数围,然后画出程序框图,再一步步编写源代码。调试过程很顺利,只有一个地方忘加了“;”。运行程序后,结果非常满意。 (B)这个题目不难,但是也不简便,我想到只取三位数的方法,并使用FOR循环,然后画出程序框图,再一步步编写源代码。调试过程发现对其中一个变量的初值是1还是13有待解决,分析程序后发现应该用1,然后进一步调试,运行,直至结果正确。</p><h2>VerilogHDL与FPGA设计基础_授课教案0709</h2><p>西安邮电大学课程教案 课程名称:VerilogHDL与FPGA设计基础 授课教师:李哲 授课教师所在学院:电子工程学院 授课班级:电路1201~02 授课学期: 2014-2015-01学期</p><p>一、基本信息 课程名称VerilogHDL与FPGA设计基础 课程性质○必修⊙限选○选修○素拓○跨学科授课专业班级学生人数:67 所处年级○一年级○二年级⊙三年级○四年级 总学时64 理论课时40 实验课时24 学分 4 课程教材VerilogHDL与FPGA设计基础 上课时间2014-2015-1 上课地点A337、A322 答疑时间答疑地点2#112 先修课程 本课程在授课对象所学专业人才培养中的作用与地位本课程是集成电路设计与系统集成专业的一门专业基础课程,学生在先修课程数字电路基础上,掌握使用VerilogHDL进行数字电路设计、仿真,并在Fpga器件上实现数字逻辑。初步掌握集成电路和数字系统的设计方法,培养学生从事集成电路设计技能,对学生进入集成电路设计领域有很重要作用。 本课程在知识传授、能力提升、素质培养各方面的教学目标掌握基于FPGA Verilog HDL实现数字电路仿真的方法。一方面可以使学生掌握一种适合产品样机和小批量生产的理想手段,另一方面也为进一步学习专用集成电路芯片设计打下了良好基础。通过本课程的学习可以使学生掌握1)自顶向下的全正向设计思想;2)可编程逻辑器件的基本知识和相关软件的使用方法;3)FPGA电路设计的方法和技巧。基本具备中小规模可编程逻辑器件的设计开发能力。 学生情况分析注:本栏目建议各位老师通过与学生深入沟通、向前续课程授课教师和辅导员老师了解情况等各种方式,充分了解授课学生的实际情况,积极有效地开展教学。</p><h2>大作业要求与格式范例100107</h2><p>天津工程职业技术学院毕业大作业要求 毕业大作业是每位毕业生必须完成的学习环节,是对学生在校阶段学习成果的综合性检验,也是对学生将所学基础理论知识运用于分析和解决实际问题能力的综合性训练,学生必须以认真的态度对待毕业大作业写作,其具体要求如下: 一、大作业写作要求: 1、学生必须在导师指导下独立完成毕业大作业写作,禁止抄袭他人文章。 2、大作业必须结合充分的图片及图表进行说明,大作业观点须与材料统一,层次分明, 条理清楚,所用的专业语言准确、概括、简练。 3、大作业所引资料的出处必须一一注明,大作业后应附参考文献。 4、鼓励大作业理论结合实际,解决实际问题。 5、字数要求,大作业正文应控制在5000字左右为宜(不含计算机程序清单)。 6、大作业格式要求参照标准大作业格式。 二、评分标准: 评分按优秀(A)、良好(B)、中等(C)、及格(D)、不及格(E)5级记分。其中优秀率不得超过10%。 1、优秀(A) 大作业标准:观点新颖,分析正确。论证有力,必须理论联系实际,对论及问题能作全面深入的探讨;文笔流畅,层次分明,构架严谨,言之有据。具体要求是:在大作业内容中,必须要有实际的数据资料,并进行实证分析;在大作业形式上,所引用的他人观点和资料必须一一注明出处,使大作业能反映出作者的研究过程和结果。否则大作业不能评优(A)。 2、良好(B) 大作业标准:观点明确,分析正确,结论无错,能理论联系实际,根据所学理论知识对论及问题作较为全面地阐述。文笔通顺,层次分明,构架合理。具体要求是:在大作业内容中,必须使用来自于实际地数据和进行简单的分析(不要求必须进行实际分析);在大作业的形式上必须要对引用文献加以注明,使大作业真实地反映出作者地贡献。否则大作业不能达到良好水平(B)。 3、中等(C) 大作业标准:观点明确,对论及问题能通过分析得出结论,且言之有理。文章层次分明,构架合理。具体要求是:在大作业内容中,至少需要对实际情况加以描述和进行定性分析,能得出结论;在大作业形式上,必须注明所引用文献的出处,使大作业能反映出作者的实际状况。否则大作业不能达到中等水平(C)。 4、及格(D) 大作业标准:能在导师指导下独立完成大作业写作,能正确描述论及问题,并有自己的看法,分析无大错。具体要求是:在大作业内容中,必须要能运用一定的理论对所讨论的问题进行简要的分析,并提出自己的看法;在大作业形式上,必须注明所引用文献的出处。否则大作业不能达到及格水平(D)。 5、不及格(E) 大作业标准:在导师指导下仍不能独立完成大作业写作,大作业不能正确描述论及问题,无自己的观点看法,分析语无伦次。具体要求是:大作业达不到及格标准的,不能参加大作业答辩,不给任何分数。虽然大作业达到了及格及以上标准,但是答辩不能达到及格标准的,仍以不及格记分。</p><h2>verilog语言设计-同步清零计数器</h2><p>数字电子技术基础可编程逻辑器件大作业106进制同步清零计数器 班级:0906101 学号:1090610106 姓名:康代涛</p><p>1.同步清零计数器 1.1设计目的与要求 利用Verilog HDL设计一个以自己学号后三位为模的计数器。 设计要求:1.编写源程序2.给出仿真电路图和仿真波形图。 1.2 Verilog HDL源代码 module counter_106(clk,reset,out); input clk; input reset; output[6:0] out; reg[6:0] out; always@(posedge clk) begin if(reset==1) out<=0; else if(out==105) out<=0; else out<=out+1; end endmodule 1.3仿真原理图 同步清零计数器的仿真电路如下图所示: 1.4 仿真波形图 同步清零计数器仿真波形如下图所示:</p><p>2设计总结 本次设计需要利用Verilog HDL设计一个以自己学号后三位为模的计数器,而且要编写源程序并给出仿真电路图和仿真波形图。 通过本次设计,使自己初步了解了如何利用Quartus II 9.1 设计可编程逻辑器件,巩固了用Verilog HDL 语言编写硬件描述电路,以这样一种方式使自己复习并进一步熟悉了Verilog语言,对自己在数字电子技术方面的学习有很大作用。 参考文献: [1]杨春玲,王淑娟,《数字电子技术基础》,高等教育出版社,2011.6 [2]王立欣,杨春玲,《电子技术实验与课程设计》,哈尔滨工业大学出版社,2009 [3]廉玉欣,《电子技术基础实验教程》,机械工业出版社,2010</p><h2>专科生毕业大作业格式模板--专科</h2><p>题目:大连理工大学网络高等教育毕业大作业模板 年 学 学 指导教师: 完成日期:年月日</p><p>关键词:写作规范;排版格式;毕业大作业</p><p>内容摘要 引言 1 文本格式说明 1.1 基本要求 1.2 封面格式 1.3内容摘要 (2) 1.4 目录 (2) 1.5 毕业大作业正文 (2) 1.6 参考文献 (2) 1.7 其它 (2) 1.7.1 量和单位的使用: (2) 1.7.1 图表及公式的使用: (2) 2 毕业大作业的写作规格 (4) 2.1 毕业大作业装订要求 (4) 2.2 毕业大作业内容简述 (4) 参考文献 (5)</p><p>直流稳压电源又称直流稳压器,它的供电电压大都是交流电压,当交流供电电压或输出负载电阻变化时,稳压器的直流输出电压都能保持稳定,稳压器的参数有电压稳定度,波纹系数和响应速度等。前者表示输出电压的变化对输出电压的影响,波纹系数表示在额定工作情况下,输出电压中交流分量的大小;后者便是输入电压或负载急剧变化时,电压回到正常时所需要的时间。直流稳压电源分连续导电式和开关式两类。前者前者由工频变压器把单项或三相交流电压变到适合值,然后经整流滤波获得不稳定的直流电源,再经稳压电路得到稳定电压(电流)。这种电源线路简单、波纹小、相互干扰小,但体积大、耗材多、效率低(常低于40%-60%)。后者以改变调整元件(或开关)的通断时间比来调节输出电压,从而达到稳压。这类电源功耗小,效率可达到85%左右,但缺点是波纹大相互干扰大。所以,80年代以来发展迅速。 直流稳压电源从工作方式上可分为:可控整流型,用改变晶体管的导通时间来调整输出电压。斩波型,输入是不稳定的直流电压,以改变开关电路的通断比得到单向脉动电流,再经滤波后得到稳定的直流电压。变换器型,不稳定直流电压先经逆变器变化成高频交流电,再经变压、整流、滤波后,从所得新的直流输出电压取样,反馈控制逆变器工作频率,达到稳定输出直流电压的目的。 随着微机,中小型计算机的普及和航空航天数据通信,交通邮电等事业的讯速发展,以及为了各种自动化仪器、仪表和设备配套的需要,当代对电源的需要不仅日益增大,而且对电源的性能、效率、重量、尺寸和可靠性以及诸如程序控制、电源通/断、远距离操作和信息保护等功能提出了更高的要求。直流稳压电源广泛用于国防、科研、大专院校、实验室、工矿企业、电解、电镀、充电设备等直流供电。可用于各种电子设备老化,如PCB板的老化、家电老化、各类IT产品老化、CCFL老化、灯管老化。适用于需要的自动定时通、断电,自动记周期数的电子元器件的老化、测试。电解电容器的老练。电阻器,继电器。马达等测试老练。整机老练;电子元器件的性能测试,例行试验。</p><h2>文献检索大作业格式</h2><p>文献检索课综合实习报告范例 小组成员成绩_____________ 院(系)专业 检索课题:竹木建筑的设计与应用 一、课题分析: 我国的建筑结构主材混凝土、钢材、砖砌体等都属于高耗能材料,同时排放出大量温室气体,还会造成粉尘等空气污染。竹木作为传统的建筑材料在我国有着悠久的应用历史,竹木结构建筑因具有节能环保、抗震防风、保温隔音、材料可再生并且可循环利用等诸多优点。竹木建筑的开发利用,在讲究低碳、生态、舒适的今天社会生活中,有着很大的促进作用,有着不可估量的发展潜力和研究价值。 二、选择检索工具、检索途径: 检索工具:中国期刊全文数据库、维普中文科技期刊数据库、中国优秀硕士论文数据库、中国博士论文数据库(选检)、OPAC联机公共目录检索、超星数字图书馆、网络搜索引擎(百度、谷歌、雅虎等选择一个进行检索)。 三、检索策略: 通过课题分析,本课题拟定的检索词为:竹结构;木结构;建筑设计;建筑材料 本课题拟定的检索式为“(竹结构 or 木结构)and (建筑设计 or 建筑材料)” 可选择的检索途径:主题途径;篇名途径;摘要途径;关键词途径。 对于本课题初步拟定的检索途径为“(主题=竹结构 or 主题=木结构)and (主题=建筑设计 or 主题=建筑材料)”</p><p>四、具体检索: 一、全文数据库检索 1.从中国期刊全文数据库(CNKI),维普中文科技期刊数据库(VIP)中文全文数据库中进行全文检索,并写出3-5篇你认为与研究课题关联最为紧密的文章题录。 数据库1:中国期刊全文数据库(CNKI) 负责成员: 年限:2000—2011 范围:全部期刊 匹配:精确 检索式:(主题=竹结构 or 主题=木结构)and (主题=建筑设计 or 主题=建筑材料) 检出篇数:177篇 题录1:谢启芳; 吕西林; 熊海贝. 轻型木结构房屋的结构特点与改进[J]. 建筑结构学报, 2010, S2 : 350-354 题录2:刘雁, 张建新, 周宝国等. 现代木结构建筑及其在中国的发展前景初探[J]. 江苏建筑,2005, 3 :5-7. 题录3:左光之, 邹青. 新型木构建筑的建构解析[J]. 安徽建筑工业学院学报(自然科学版), 2010, 2 : 41-46. 题录4:张坤. 节能、降耗的现代木结构[J]. 中国住宅设施, 2007, 3 : 34-36. 题录5:朱建新; 盛素玲. 浅谈竹结构建筑的生态性[J]. 建筑科学, 2005, 4 : 92-94. 数据库2 :维普中文科技期刊数据库(VIP) 负责成员: 年限: 2000—2011 范围:全部期刊 匹配:精确 检索式:M=(竹结构+木结构)*M=(建筑设计+建筑材料) 检出篇数:53篇 题录1:赵克俭. 木结构在建筑设计中的应用[J]. 天津建设科技,2010, 5 : 18-19.</p><h2>大作业模板</h2><p>交通与汽车工程学院 课程大作业说明书 课程名称: 汽车检测诊断技术(实践) 课程代码: 11096 题目: 汽车双怠速排放试验 年级/专业/班: 汽车服务工程 学生姓名: 学号: 开始时间:2014 年09 月27 日 完成时间:2014 年11 月26 日 目录 摘要 (2) 1 引言 (3) 2 排放测试设备 (3) 2.1汽车 (3) 2.2排气分析仪 (3) 3 试验内容 (4) 3.1试验前准备 (4)</p><p>3.1.1 机动车排放气体测试仪 (4) 3.1.2 汽车 (5) 3.1.1 试验标准 (5) 3.2 实验过程记录 (6) 3.2.1 汽车高怠速试验 (6) 3.2.2汽车怠速试验 (6) 3.2.3试验结果 (6) 结论 (7) 致谢 (8) 参考文献 (9)</p><p>摘要 汽车排放是指从废气中排出的CO(一氧化碳)、HC+NOx(碳氢化合物和氮氧化物)、PM(微粒,碳烟)等有害气体,是发动机在燃烧作功过程中产生的有害气体。随着汽车尾气污染的日益严重,政府对治理汽车尾气排放造成的城市环境污染重视,控制汽车尾气污染的排放已是环保部门、汽车生产厂家及社会各界的一项责任;通过严格的法规推动汽车排放控制技术的进步,从而使汽车技术发展与使用和环境更好的和谐。 本次试验就GB 18285-2005《点燃式发动机汽车排气污染物排放限值及测量方法(双怠速法及简易工况法)》标准的规定:进行了汽车双怠速排放试验。 关键词:发动机高怠速怠速排放</p><p>1 引言 1.1 汽车排气污染物 汽车排放是指从废气中排出的CO(一氧化碳)、HC+NOx(碳氢化合物和氮氧化物)、PM(微粒,碳烟)等有害气体,是发动机在燃烧作功过程中产生的有害气体。 CO是燃油氧化不完全的中间产物,当氧气不充足时会产生CO,混合气浓度大及混合气不均匀都会使排气中的CO增加;HC是燃料中未燃烧的物质,由于混合气不均匀、燃烧室壁冷等原因造成部分燃油未来得及燃烧就被排放出去;NOx是燃料(汽油)在燃烧过程中产生的一种物质。PM也是燃油燃烧时缺氧产生的一种物质,其中以柴油机最明显;因为柴油机采用压燃方式,柴油在高温高压下裂解更容易产生大量肉眼看得见的碳烟。 发动机中排出的废气既污染大气,又使环境恶化,而且这些污染物的最高浓度层处在离地面1m左右处,恰恰是行人的呼吸带部位,严重地影响人类的健康,将使人的神经系统、消化系统和呼吸系统受到损害。 随着汽车尾气污染的日益严重,政府对治理汽车尾气排放造成的城市环境污染重视,控制汽车尾气污染的排放已是环保部门、汽车生产厂家及社会各界的一项责任。1.2 试验方案 GB 18285-2005《点燃式发动机汽车排气污染物排放限值及测量方法(双怠速法及简易工况法)》标准的规定:进行汽车双怠速排放试验。 2 排放测试设备 2.1汽车 车型为BORA 2005款,装备1.6L BJH四缸汽油发动机、手动变速箱。 2.2 排气分析仪 试验使用的排气分析仪名称是机动车排放气体测试仪,设备型号CDF-5000。该设备具有的功能为: (二氧化碳),采用不分光红外吸收法测量汽油车排放气体中的CO(一氧化碳),CO 2 (氧气)NO(氮氧化合物)HC(碳氢化合物)的单位体积浓度,用电化学方法测量O 2</p><h2>蔡觉平老师,verilog上机作业</h2><p>上机作业 题目2:简述begin-end 语句块和fork-join 语句块的区别,并写出下面信号对应的程序代码 begin-end 语句块和fork-join 语句块的区别: 1、执行顺序:begin-end 语句块按照语句顺序执行,fork-join 语句块所有语句均在同一时刻执行; 2、语句前面延迟时间的意义:begin-end 语句块为相对于前一条语句执行结束的时间,fork-join 语句块为相对于并行语句块启动的时间; 3、起始时间:begin-end 语句块为首句开始执行的时间,fork-join 语句块为转入并行语句块的时间; 4、结束时间:begin-end 语句块为最后一条语句执行结束的时间,fork-join 语句块为执行时间最长的那条语句执行结束的时间; 5、行为描述的意义:begin-end 语句块为电路中的数据在时钟及控制信号的作用下,沿数据通道中各级寄存器之间的传送过程。fork-join 语句块为电路上电后,各电路模块同时开始工作的过程。 程序如下: module b(a,b); output a,b; reg a,b; initial begin a=0; b=1; #10 a=1; fork b=0; #10 b=1; #20 a=0; join #10 b=0; #10 a=1; b=1; end endmodule A B</p><p>题目3.分别用阻塞和非阻塞赋值语句描述如下图所示移位寄存器的电路图。 程序如下: module block1(din,clk,out0,out1,out2,out3); input din,clk; output out0,out1,out2,out3; reg out0,out1,out2,out3; always@(posedge clk) begin out3=out2; out2=out1; out1=out0; out0=din; end endmodule</p><h2>专科大作业:完整模板</h2><p>成人专科毕业大作业毕业大作业 题目名称 年级专业 学生姓名 学号 指导教师 二○××年××月××日</p><p>目录(小三号黑体字,居中) (目录与目录内容之间空一行) 内容摘要 (1) 1 引言(或概述)(作为正文第一章,一级标题小四号加粗宋体字) (2) 2 ××××××(正文第二章标题,一级标题小四号加粗宋体字)………………P 2.1 ××××××(正文第二章第一节标题,二级标题小四号宋体字)…………P 2.1.1××××××(三级标题小四号宋体字)………………………………………P 2.1.2××××××(三级标题小四号宋体字)………………………………………P 2.2 ××××××(正文第二章第二节标题,二级标题小四号宋体字)…………P 2.2.1××××××(三级标题小四号宋体字)………………………………………P 2.2.2××××××(三级标题小四号宋体字)………………………………………P 2.2.3××××××(三级标题小四号宋体字)………………………………………P 2.3××××××(正文第二章第三节标题,二级标题小四号宋体字)…………P 3 ××××××(正文第三章)……………………………………………………P 3.1(正文第三章第一节标题,二级标题小四号宋体字)……………………………P 3.2(正文第三章第一节标题,二级标题小四号宋体字)……………………………P X××××××(正文第X章)………………………………………………………P ……………………………………………………………………………… 注:①目录按三级标题编写(必须与正文标题一致),要求层次清晰。 ②格式模板中的说明(红色或蓝色字体表示),在参阅后请删除。</p><h2>大作业(论文)书写说明及格式要求</h2><p>论文书写说明及格式要求 一、书写说明 (一)任务书(由指导教师填写) 任务书的内容:根据本课程论述的产品全生命周期的理论,自拟一个你感兴趣的话题进行阐述。正文字数不少于3000字。 2、开题报告(由学生本人填写) 由学生本人论述选择这一课题的目的和意义,对所著课题的研究方案及计划进度的安排。(100字左右) 3、中文摘要的书写说明:(由学生本人填写) 摘要是用中文来对论文的高度概括,是全文的缩影。是对论文内容不加注释和评论的简短陈述,具有独立性和概括性,即不用阅读论文(设计)全文,就能获得必要的信息,主要是结果和结论。 关键词:是为了文献标引工作从论文中选取出来的,用以表达全文内容信息的单词或术语,每篇论文(设计)一般选取3-8个词作为关键词。 4、目录的书写说明:(由学生填写) 论文要有目录,以反映出论文的纲要。列出目录,可以从中看出论文的内容梗概,论点的安排,整体的布局,各章节的联系,给人以清楚的轮廓。因此,目录应列出通篇论文各组成部分的大小标题,分别层次,逐项标注页码,并包括注明参考文献、附录、图版、索引等附属部分的页码,以便读者查找。 5、参考文献的书写说明:(由学生填写) 参考文献置于正文的末尾。对那些重要的学术性强的,在论证中所引用过的文献,一般都应列出来。 参考文献按在正文中出现的先后次序列表于文后;表上以“参考文献:”(左顶格)或“[参考文献]”(居中)作为标识;参考文献的序号左顶格,并用数字加方括号表示,如[1]、[2]、…,以与正文中的指示序号格式一致。每一参考文献条目的最后均以“.”结束。各类参考文献条目的编排格式及示例如下: (1)专著、论文集、学位论文、报告 [序号]主要责任者.文献题名[文献类型标识].出版地:出版者,出版年.起止页码(任选). [1] 刘国钧,陈绍业,王凤翥.图书馆目录[M].北京:高等教育出版社,1957.15-18. (2)期刊文章 [序号]主要责任者.文献题名[J].刊名,年,卷(期):起止页码. [2] 何龄修.读顾城南明史[J].中国史研究,1998,(3):167-173. (3)论文集中的析出文献 [序号]析出文献主要责任者.析出文献题名[A].原文献主要责任者(任选).原文献题名[C].出版地:出版者,出版年.析出文献起止页码. [3] 钟文发.非线性规划在可燃毒物配置中的应用[A].赵玮.运筹学的理论与应用——中国运筹学会第五届大会论文集[C].西安:西安电子科技大学出版社,1996.468-471. (4)报纸文章 [序号]主要责任者.文献题名[N].报纸名,出版日期(版次). [4] 谢希德.创造学习的新思路[N].人民日报,1998-12-25(10). (5)电子文献 [序号]主要责任者.电子文献题名[电子文献及载体类型标识].电子文献的出处或可获得地址,</p></div> </div> <div> <div>相关文档</div> <div class="relatedtopic"> <div id="tabs-section" class="tabs"> <ul class="tab-head"> <li id="22137311"><a href="/topic/22137311/" target="_blank">eda大作业</a></li> <li id="4450354"><a href="/topic/4450354/" target="_blank">大作业格式示例</a></li> <li id="19198105"><a href="/topic/19198105/" target="_blank">专科大作业格式范例</a></li> <li id="21247176"><a href="/topic/21247176/" target="_blank">大作业格式</a></li> <li id="5146275"><a href="/topic/5146275/" target="_blank">大作业范例</a></li> <li id="6248702"><a href="/topic/6248702/" target="_blank">verilog大作业</a></li> </ul> </div> </div> </div> </div> <div class="category"> <span class="navname">相关文档</span> <ul class="lista"> <li><a href="/doc/457930650.html" target="_blank">西电EDA大作业多功能彩灯</a></li> <li><a href="/doc/7412027206.html" target="_blank">西电eda大作业</a></li> <li><a href="/doc/aa8798532.html" target="_blank">423403367_3_EDA大作业2 显示和输入2011</a></li> <li><a href="/doc/ec8642630.html" target="_blank">eda大作业(1)(1)</a></li> <li><a href="/doc/124626308.html" target="_blank">EDA大作业</a></li> <li><a href="/doc/f07559891.html" target="_blank">EDA流水灯设计大作业</a></li> <li><a href="/doc/6511659758.html" target="_blank">数字电子技术基础EDA大作业2终结报告(2016秋)</a></li> <li><a href="/doc/961280206.html" target="_blank">EDA大作业</a></li> <li><a href="/doc/af15817412.html" target="_blank">西电EDA大作业</a></li> <li><a href="/doc/ea16469779.html" target="_blank">函数信号发生器设计EDA大作业</a></li> <li><a href="/doc/2614675253.html" target="_blank">EDA大作业 简易计算器</a></li> <li><a href="/doc/704206761.html" target="_blank">(完整版)EDA大作业</a></li> <li><a href="/doc/9812802599.html" target="_blank">EDA大作业格式与范例</a></li> <li><a href="/doc/e23335500.html" target="_blank">EDA 大作业一终结报告_2014011541_林子坤</a></li> <li><a href="/doc/f67509157.html" target="_blank">EDA计算器设计大作业</a></li> <li><a href="/doc/668575442.html" target="_blank">eda大作业.</a></li> <li><a href="/doc/8014200039.html" target="_blank">电子科技大学17年6月考试《EDA技术》期末大作业参考答案</a></li> <li><a href="/doc/aa14393297.html" target="_blank">eda大作业</a></li> <li><a href="/doc/e715409660.html" target="_blank">eda大作业</a></li> <li><a href="/doc/209807085.html" target="_blank">(完整word版)EDA计算器设计大作业</a></li> </ul> <span class="navname">最新文档</span> <ul class="lista"> <li><a href="/doc/0719509601.html" target="_blank">幼儿园小班科学《小动物过冬》PPT课件教案</a></li> <li><a href="/doc/0e19509602.html" target="_blank">2021年春新青岛版(五四制)科学四年级下册 20.《露和霜》教学课件</a></li> <li><a href="/doc/9319184372.html" target="_blank">自然教育课件</a></li> <li><a href="/doc/3019258759.html" target="_blank">小学语文优质课火烧云教材分析及课件</a></li> <li><a href="/doc/db19211938.html" target="_blank">(超详)高中语文知识点归纳汇总</a></li> <li><a href="/doc/af19240639.html" target="_blank">高中语文基础知识点总结(5篇)</a></li> <li><a href="/doc/9919184371.html" target="_blank">高中语文基础知识点总结(最新)</a></li> <li><a href="/doc/8b19195909.html" target="_blank">高中语文知识点整理总结</a></li> <li><a href="/doc/8019195910.html" target="_blank">高中语文知识点归纳</a></li> <li><a href="/doc/7f19336998.html" target="_blank">高中语文基础知识点总结大全</a></li> <li><a href="/doc/7a19336999.html" target="_blank">超详细的高中语文知识点归纳</a></li> <li><a href="/doc/6719035160.html" target="_blank">高考语文知识点总结高中</a></li> <li><a href="/doc/6a19035161.html" target="_blank">高中语文知识点总结归纳</a></li> <li><a href="/doc/4d19232289.html" target="_blank">高中语文知识点整理总结</a></li> <li><a href="/doc/3a19258758.html" target="_blank">高中语文知识点归纳</a></li> <li><a href="/doc/2519396978.html" target="_blank">高中语文知识点归纳(大全)</a></li> <li><a href="/doc/2419396979.html" target="_blank">高中语文知识点总结归纳(汇总8篇)</a></li> <li><a href="/doc/1f19338136.html" target="_blank">高中语文基础知识点整理</a></li> <li><a href="/doc/ef19066069.html" target="_blank">化工厂应急预案</a></li> <li><a href="/doc/bc19159069.html" target="_blank">化工消防应急预案(精选8篇)</a></li> </ul> </div> </div> <script> var sdocid = "9ab745ad81c758f5f61f67ac"; </script> <div class="footer"> <p><a href="/tousu.html" target="_blank">侵权投诉</a> &nbsp;&copy; 2013-2023 www.wendangku.net &nbsp;<a href="/sitemap.html">站点地图</a>&nbsp;|&nbsp;<a href="https://m.wendangku.net">手机版</a></p> <p><a href="https://beian.miit.gov.cn" target="_blank">闽ICP备11023808号-7</a>&nbsp;&nbsp;本站文档均来自互联网及网友上传分享,本站只负责收集和整理,有任何问题可通过上访投诉通道进行反馈</p> </div> <script type="text/javascript">foot();</script> </div> </body> </html>