文档库 最新最全的文档下载
当前位置:文档库 › 北航实验报告实验实验

北航实验报告实验实验

北航实验报告实验实验
北航实验报告实验实验

实验三UC-OS移植实验

一、实验目的

在内核移植了uCOS-II 的处理器上创建任务。

二、实验内容

1.运行实验十,在超级终端上观察四个任务的切换。

2. 任务1~3,每个控制“红”、“绿”、“蓝”一种颜色的显示,适当增加OSTimeDly()的时间,且优先级高的任务延时时间加长,以便看清三种颜色。

3.引入一个全局变量 BOOLEAN ac_key,解决完整刷屏问题。

4. #define rUTRSTAT0 (*(volatile unsigned *)0x)

#define RdURXH0()(*(volatile unsigned char *)0x)

当键盘有输入时在超级终端上显示相应的字符。

三、实验设备

硬件:ARM嵌入式开发平台、用于ARM920T的JTAG仿真器、PC机Pentium100以上。

软件:PC机操作系统Win2000或WinXP、ARM 集成开发环境、仿真器驱动程序、超级终端通讯程序。

四、实验原理

所谓移植,指的是一个操作系统可以在某个微处理器或者微控制器上运行。虽然uCOS-II的大部分源代码是用C语言写成的,仍需要用C语言和汇编语言完成一些与处理器相关的代码。比如:uCOS-II在读写处理器、寄存器时只能通过汇编语言来实现。因为uCOS-II在设计的时候就己经充分考虑了可移植性,所以,uCOS-II的移植还是比较容易的。

要使uCOS一工工可以正常工作,处理器必须满足以下要求:

1)处理器的C编译器能产生可重入代码。

2)在程序中可以打开或者关闭中断。

3)处理器支持中断,并A能产生定时中断(通常在10Hz}1000Hz之间)。

4)处理器支持能够容纳一定量数据的硬件堆栈。

5)处理器有将堆栈指针和其它CPU寄存器存储和读出到堆栈(或者内存)的指令。

uCOS-II进行任务调度的时候,会把当前任务的CPU寄存器存放到此任务的堆栈中,然后,再从另一个任务的堆栈中恢复原来的工作寄存器,继续运行另一个任务。所以,寄存器的入栈和出栈是uCOS一工工多任务调度的基础。

五、实验步骤

1 以实验十为模板,将实验六 inc目录下的和src目录下的拷到模板下的相应目录,将加入工程中。

2包含以下头文件#include “inc/”。

3改文件中包含头文件的路径。

#include "../inc/drv/"

4 声明引用的变量 extern U32 LCDBufferII2[LCDHEIGHT][LCDWIDTH];

六、源程序

#include"../ucos-ii/" /* uC/OS interface */

#include "../ucos-ii/add/"

#include "../inc/"

#include "../inc/sys/"

#include "../src/gui/"

#include "../inc/"

#include <>

#include <>

inc/"

.\n");

OSStart(); // start the OS //

// never reached //

return 0;

}

/////////////////////////////////////////////////////////////////////////////// ///////////////////////////////////

void Task1(void *Id)

{

for(;;)

{ if(ac_key==1)

{ ac_key=0;

BSPprintf(0,"RED%\n\n");

for (k=0;k<480;k++)

for (j=0;j<640;j++)

LCDBufferII2[k][j]=0x000000ff; LCD_Refresh();

OSTimeDly(10000);

ac_key=1;

}

}

}

void Task2(void *Id)

{

for(;;)

{ if(ac_key==1)

{ ac_key=0;

BSPprintf(0,"GREEN%\n\n");

for (k=0;k<480;k++)

for (j=0;j<640;j++)

LCDBufferII2[k][j]=0x0000fc00;

LCD_Refresh();

ac_key=1;

OSTimeDly(8000);

}

}

}

void Task3(void *Id)

{

for(;;)

{ if(ac_key==1)

{ ac_key=0;

BSPprintf(0,"BLUE%\n\n");

for (k=0;k<480;k++)

for (j=0;j<640;j++)

LCDBufferII2[k][j]=0x00f80000;

LCD_Refresh();

ac_key=1;

OSTimeDly(6000);

}

}

}

void Task4(void *Id)

{

char s;

for(;;)

{

ac_key=0;

if (rUTRSTAT0 & 1)

{

s=RdURXH0();

OSTimeDly(100);

BSPprintf(0,"%c\n\n",s);

}

ac_key=1;

OSTimeDly(100);

}

}

七、实验思考题

1、如何提高键盘的响应速度

答:提高优先级,减少延时时间。

2、全局变量 ac_key对共享资源管理有何意义

答:设置标志位,进入任务以后,判断标志位,通过,进行刷屏操作,全部显示完成后,标志位置位。允许其他任务执行。总之,它能解决不能完整刷屏问题。

北航电子电路设计数字部分实验报告

电子电路设计数字部分实验报告 学院: 姓名:

实验一简单组合逻辑设计 实验内容 描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。 实验仿真结果 实验代码 主程序 module compare(equal,a,b); input[7:0] a,b; output equal; assign equal=(a>b)1:0; endmodule 测试程序

module t; reg[7:0] a,b; reg clock,k; wire equal; initial begin a=0; b=0; clock=0; k=0; end always #50 clock = ~clock; always @ (posedge clock) begin a[0]={$random}%2; a[1]={$random}%2; a[2]={$random}%2; a[3]={$random}%2; a[4]={$random}%2; a[5]={$random}%2; a[6]={$random}%2; a[7]={$random}%2; b[0]={$random}%2; b[1]={$random}%2; b[2]={$random}%2; b[3]={$random}%2; b[4]={$random}%2;

b[5]={$random}%2; b[6]={$random}%2; b[7]={$random}%2; end initial begin #100000 $stop;end compare m(.equal(equal),.a(a),.b(b)); endmodule 实验二简单分频时序逻辑电路的设计 实验内容 用always块和@(posedge clk)或@(negedge clk)的结构表述一个1/2分频器的可综合模型,观察时序仿真结果。 实验仿真结果

自动控制原理实验报告

第一章Matlab 基本运算 [范例1-2] 建立矩阵A={7 8 9},B={7 8 9} >> A=[7,8,9] A = 7 8 9 >> B=A' B = 7 8 9 (2) >> B=[1 1 2 ; 3 5 8 ; 10 12 15] B= 1 1 2 3 5 8 10 12 15 (3) >> a=1:1:10 a = 1 2 3 4 5 6 7 8 9 10 >> t=10:-1:1

t = 10 9 8 7 6 5 4 3 2 1 [范例1-3]求多项式D(S)=(5S^2+3)(S+1)(S-1)的展开式 >> D=conv([5 0 3],conv([1 1],[1 -2])) D = 5 -5 -7 -3 -6 [范例1-4]求多项式P(X)=2X^4-5X^3-X+9 (1) >> P=[2 -5 6 -1 9] P = 2 -5 6 -1 9 >> x=roots(P) x = 1.6024 + 1.2709i 1.6024 - 1.2709i -0.3524 + 0.9755i -0.3524 - 0.9755i 第二章控制系统的数学模型 [范例2-1]已知系统传递函数G(S)= s + 3/ s^3 + 2 s^2 + 2 s + 1 >> num=[0 1 3]; >> den=[1 2 2 1]; >> printsys(num,den) num/den = s + 3 --------------------- s^3 + 2 s^2 + 2 s + 1 [范例2-2]已知系统传递函数G(S)=【5*(S+2)^2(S^2+6S+7)】/S(S+1)^3(S^3+2S+1)],试

北航自动控制系统原理实验资料报告材料1-4合集

自动控制原理 实验报告 实验一二阶系统的电子模拟及时域响应的动态测试实验二频率响应测试 实验三控制系统串联校正 实验四控制系统数字仿真 : 学号:单位:仪器科学与光电工程学院 日期:2013年12月27日

实验一二阶系统的电子模拟及时域响应的动态测试 一、实验目的 1. 了解一、二阶系统阶跃响应及其性能指标与系统参数之间的关系。 2. 学习在电子模拟机上建立典型环节系统模型的方法。 3. 学习阶跃响应的测试方法。 二、实验容 1. 建立一阶系统的电子模型,观测并记录在不同时间常数T时的跃响应曲线,并测定其过渡过程时间TS。 2. 建立二阶系统的电子模型,观测并记录在不同阻尼比ζ时的跃响应曲线,并测定其超调量σ%及过渡过程时间TS。 三、实验原理 1.一阶系统:系统传递函数为: 模拟运算电路如图1- 1所示: 图1- 1 由图1-1得 在实验当中始终取R2= R1,则K=1,T= R2C取不同的时间常数T分别为:0.25、0.5、1 2.二阶系统: 其传递函数为: 令=1弧度/秒,则系统结构如图1-2所示: 图1-2 根据结构图,建立的二阶系统模拟线路如图1-3所示:

图1-3 取R2C1=1 ,R3C2 =1,则及 ζ取不同的值ζ=0.25 , ζ=0.5 , ζ=1 四、实验步骤 1. 确定已断开电子模拟机的电源,按照实验说明书的条件和要求,根据计算的电阻电容值,搭接模拟线路; 2. 将系统输入端与D/A1相连,将系统输出端与A/D1相; 3. 检查线路正确后,模拟机可通电; 4. 双击桌面的“自控原理实验”图标后进入实验软件系统。 5. 在系统菜单中选择“项目”——“典型环节实验”;在弹出的对话框中阶跃信号幅值选1伏,单击按钮“硬件参数设置”,弹出“典型环节参数设置”对话框,采用默认值即可。 6. 单击“确定”,进行实验。完成后检查实验结果,填表记录实验数据,抓图记录实验曲线。 五、实验设备 HHMN-1电子模拟机一台、PC机一台、数字式万用表一块 六、实验数据 T 0.25 0.5 1 R2 250K 500K 1M C 1μF 1μF 1μF Ts理论0.75s 1.5s 3.0s Ts实测0.763s 1.543s 3.072s Ts误差 1.73% 2.87% 2.40% 响应图形图1 图2 图3

北航自动控制元件复习提纲

自控元件复习提纲 一、关于考试(从学长处获得信息,仅供大家参考): 1、简答:eg:1)、为什么空载实验可以测r m ,x m? 2)、变压器中是否必须有无功功率? 2、论述:eg:1)、变压器工作原理。 2)、电机基本特点。 论述时必要时要作图说明。如要论述两相伺服电机为何有无自转特性时应该作出单相工作时两相伺服电机的机械特性曲线来说明。 3、计算:两个计算,一个直流,一个交流。 二、各章重点内容概述(参考往届笔记): 第1章直流磁路及其计算 重点章节:1-1,1-2,1-3 磁路总是闭合的;磁路计算的正反两类任务;等效磁路的画法第2章直流电磁铁及其典型应用 重点章节:2-1,2-2,另外第三节中的继电器的主要技术指标大家也要注意第3章直流电机的一般问题 重点章节:3-1,3-2,3-4,3-5,3-6 发电机、电动机的识别,电角的概念,电枢反应的概念,直流电机的电枢电动 势和电磁转矩的计算方法,直流电机的电势平衡关系、转矩平衡关系、功率平 衡关系,电枢绕组的具体原理如果不明白大家不必深究,只要知道概念就可以, 这里不是重点。 PS:本章是比较重要的一章,计算题可能出在这一章。 第4章直流测速发电机和直流伺服电动机 重点章节:4-1,4-2 第三节要掌握直流力矩电动机的特点,知道其应用场合以 及为什么要用在这些场合。 准确理解直流伺服电动机的工作原理、四种工作状态,准确掌握直流测速发电 机和直流伺服电动机的工作原理及特性(输入、输出等) 第5章变压器 重点章节:5-2,5-3,5-4,5-5,5-6 空载电压平衡式和等效电路及相量图,负载运行的电压平衡式和磁动势平衡式 及相量图和等效电路P101的图5-12大家仔细看。参数、额定数据和特性。磁 场问题转化到电路问题。 第6章异步电动机 重点章节:6-1,6-3,6-4,6-5 转差率S,功率传递,相电动势,第三节可能考计算,注意一下习题中的最后 两道计算题6.12,6.15 另外,第六章和第五章联系很紧密,大家可以结合起来复习效果更好。 第7章两相电机 重点章节:7-1,7-2 分解磁场,无自转的分析,稳定运行范围大,第一节的第(四)部分其它大家 可以不用管。异步测速发电机的输出电压的特点,原理,频率与转速无关,只 与电源有关。 第8章同步电动机

北航电子电路设计训练模拟分实验报告

北航电子电路设计训练模拟部分实验报告

————————————————————————————————作者:————————————————————————————————日期:

电子电路设计训练模拟部分实验 实验报告

实验一:共射放大器分析与设计 1.目的: (1)进一步了解Multisim的各项功能,熟练掌握其使用方法,为后续课程打好基础。 (2)通过使用Multisim来仿真电路,测试如图1所示的单管共射放大电路的静态工作点、电压放大倍数、输入电阻和输出电阻,并观察 静态工作点的变化对输出波形的影响。 (3)加深对放大电路工作原理的理解和参数变化对输出波形的影响。 (4)观察失真现象,了解其产生的原因。 图 1 实验一电路图 2.步骤: (1)请对该电路进行直流工作点分析,进而判断管子的工作状态。 (2)请利用软件提供的各种测量仪表测出该电路的输入电阻。 (3)请利用软件提供的各种测量仪表测出该电路的输出电阻。 (4)请利用软件提供的各种测量仪表测出该电路的幅频、相频特性曲线。 (5)请利用交流分析功能给出该电路的幅频、相频特性曲线。 (6)请分别在30Hz、1KHz、100KHz、4MHz和100MHz这5个频点利用示波器测出输入和输出的关系,并仔细观察放大倍数和相位差。 (提示:在上述实验步骤中,建议使用普通的2N2222A三极管,并请注 意信号源幅度和频率的选取,否则将得不到正确的结果。) 3.实验结果及分析: (1)根据直流工作点分析的结果,说明该电路的工作状态。 由simulate->analyses->DC operating point,可测得该电路的静态工作点为:

北航实验报告实验实验

实验三UC-OS移植实验 一、实验目的 在内核移植了uCOS-II 的处理器上创建任务。 二、实验内容 1.运行实验十,在超级终端上观察四个任务的切换。 2. 任务1~3,每个控制“红”、“绿”、“蓝”一种颜色的显示,适当增加OSTimeDly()的时间,且优先级高的任务延时时间加长,以便看清三种颜色。 3.引入一个全局变量BOOLEAN ac_key,解决完整刷屏问题。 4. #define rUTRSTAT0 (*(volatile unsigned *)0x50000010) #define RdURXH0()(*(volatile unsigned char *)0x50000024) 当键盘有输入时在超级终端上显示相应的字符。 三、实验设备 硬件:ARM嵌入式开发平台、用于ARM920T的JTAG仿真器、PC机Pentium100以上。 软件:PC机操作系统Win2000或WinXP、ARM ADS1.2集成开发环境、仿真器驱动程序、超级终端通讯程序。 四、实验原理 所谓移植,指的是一个操作系统可以在某个微处理器或者微控制器上运行。虽然uCOS-II的大部分源代码是用C语言写成的,仍需要用C语言和汇编语言完成一些与处理器相关的代码。比如:uCOS-II在读写处理器、寄存器时只能通过汇编语言来实现。因为uCOS-II在设计的时候就己经充分考虑了可移植性,所以,uCOS-II的移植还是比较容易的。 要使uCOS一工工可以正常工作,处理器必须满足以下要求: 1)处理器的C编译器能产生可重入代码。 2)在程序中可以打开或者关闭中断。 3)处理器支持中断,并A能产生定时中断(通常在10Hz}1000Hz之间)。 4)处理器支持能够容纳一定量数据的硬件堆栈。 5)处理器有将堆栈指针和其它CPU寄存器存储和读出到堆栈(或者内存)的指

电气工程专业考研专业课初试科目及复试内容汇总

《电气工程专业考研专业课初试科目及复试内容汇总》 自动化专业的考研方向 自动化专业方向很广,考的时候还分双控,模式,电力电机等等方面,你可以参看学校是否在这个方面有无国家重点实验室,是不是国家重点学科来比较。 1. 清华, 2.中科院, 3.上海交大, 4.浙大,5华工,北航,东南,东北大学,西安交大,哈 尔滨工业大学,中国科技大学,华北电力,天津大学,东南大学,华中科技,武汉大学天津大学自动化 一般说来,初试的分数是最重要的,特别是考外校。当然,你的动手能力也是很重要的,还有你的英语口语,考研复试都是要考虑的。例如上海交大的复试,双控353的复试线,有380的被刷下来,就是英语口语已经专业课不是很扎实的。考外校的话依据学校而定是否要找导师 动手能力强,参加电子设计大赛都是作为你考研复试的参考,还是好好的准备初试的考试吧,毕竟它是个门槛。 【电气工程及其自动化】 北京工业大学 421自动控制原理 复试:1、电子技术2、计算机原理 北京航空航天大学 [双控] 432控制理论综合或433控制工程综合 [检测] 433控制工程综合或436检测技术综合 [系统] 431自动控制原理或451材料力学或841概率与数理统计 [模式] (自动化学院)433控制工程综合或436检测技术综合、(宇航学院)423信息类专业综合或431自动控制原理或461计算机专业综合 [导航] (自动化学院)432控制理论综合或433控制工程综合、(宇航学院)431自动控制原理 复试:无笔试。1) 外语口语与听力考核;2) 专业基础理论与知识考核;3) 大学阶段学习成绩、科研活动以及工作业绩考核;4) 综合素质与能力考核 北京化工大学 440电路原理 复试:综合1(含自动控制原理和过程控制系统及工程)、综合2(含自动检测技术装置和传感器原理及应用)、综合3(含信号与系统和数字信号处理) 注:数学可选择301数学一或666数学(单) 北京交通大学 [双控/检测]404控制理论 [模式]405通信系统原理或409数字信号处理 复试: [电子信息工程学院双控]常微分方程 [机械与电子控制工程学院检测]综合复试(单片机、自动控制原理) [计算机与信息技术学院模式] 信号与系统或操作系统

完整版模拟电子电路实验报告

. 实验一晶体管共射极单管放大器 一、实验目的 1、学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。 2、掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法。 3、熟悉常用电子仪器及模拟电路实验设备的使用。 二、实验原理 图2-1为电阻分压式工作点稳定单管放大器实验电路图。它的偏置电路采用R 和R组成的分压电路,并在发射极中接有电阻R,以稳定放大器的静态工EB1B2作点。当在放大器的输入端加入输入信号u后,在放大器的输出端便可得到一i个与u相位相反,幅值被放大了的输出信号u,从而实现了电压放大。0i 图2-1 共射极单管放大器实验电路 在图2-1电路中,当流过偏置电阻R和R 的电流远大于晶体管T 的 B2B1基极电流I时(一般5~10倍),则它的静态工作点可用下式估算B教育资料.. R B1U?U CCB R?R B2B1 U?U BEB I??I EC R E

)R+R=UU-I(ECCCCEC电压放大倍数 RR // LCβA??V r be输入电阻 r R/// R=R/beiB1 B2 输出电阻 R R≈CO由于电子器件性能的分散性比较大,因此在设计和制作晶 体管放大电路时, 为电路设计提供必离不开测量和调试技术。在设计前应测量所用元器件的参数,还必须测量和调试放大器的静态工作点和各要的依据,在完成设计和装配以后,因此,一个优质放大器,必定是理论设计与实验调整相结合的产物。项性能指标。除了学习放大器的理论知识和设计方法外,还必须掌握必要的测量和调试技术。消除干扰放大器静态工作点的测量与调试,放大器的测量和调试一般包括:与自激振荡及放大器各项动态参数的测量与调试等。、放大器静态工作点的测量 与调试 1 静态工作点的测量1) 即将放大的情况下进行,=u 测量放大器的静态工作点,应在输入信号0 i教育资料. . 器输入端与地端短接,然后选用量程合适的直流毫安表和直流电压表,分别测量晶体管的集电极电流I以及各电极对地的电位U、U和U。一般实验中,为了避 ECCB免断开集电极,所以采用测量电压U或U,然后算出I的方法,例如,只要 测CEC出U,即可用E UU?U CECC??II?I,由U确定I(也可根据I),算出CCC CEC RR CE同时也能算出U=U-U,U=U-U。EBEECBCE为了减小误差,提高测量精度,应选用内阻较高的直流电压表。 2) 静态工作点的调试 放大器静态工作点的调试是指对管子集电极电流I(或U)的调整与测试。 CEC静态工作点是否合适,对放大器的性能和输出波形都有很大影响。如工作点偏高,放大器在加入交流信号以后易产生饱和失真,此时u的负半周将被削底,O 如图2-2(a)所示;如工作点偏低则易产生截止失真,即u的正半周被缩顶(一 O般截止失真不如饱和失真明显),如图2-2(b)所示。这些情况都不符合不失真放大的要求。所以在选定工作点以后还必须进行动态调试,即在放大器的输入端 加入一定的输入电压u,检查输出电压u的大小和波形是否满足要求。如不满Oi

北航自动控制原理实验报告(完整版)

自动控制原理实验报告 一、实验名称:一、二阶系统的电子模拟及时域响应的动态测试 二、实验目的 1、了解一、二阶系统阶跃响应及其性能指标与系统参数之间的关系 2、学习在电子模拟机上建立典型环节系统模型的方法 3、学习阶跃响应的测试方法 三、实验内容 1、建立一阶系统的电子模型,观测并记录在不同时间常数T时的响应曲线,测定过渡过程时间T s 2、建立二阶系统电子模型,观测并记录不同阻尼比的响应曲线,并测定超调量及过渡过程时间T s 四、实验原理及实验数据 一阶系统 系统传递函数: 由电路图可得,取则K=1,T分别取:0.25, 0.5, 1 T 0.25 0.50 1.00 R2 0.25MΩ0.5M Ω1MΩ C 1μ1μ1μ T S 实测0.7930 1.5160 3.1050 T S 理论0.7473 1.4962 2.9927 阶跃响应曲线图1.1 图1.2 图1.3 误差计算与分析 (1)当T=0.25时,误差==6.12%; (2)当T=0.5时,误差==1.32%; (3)当T=1时,误差==3.58% 误差分析:由于T决定响应参数,而,在实验中R、C的取值上可能存在一定误差,另外,导线的连接上也存在一些误差以及干扰,使实验结果与理论值之间存在一定误差。但是本实验误差在较小范围内,响应曲线也反映了预期要求,所以本实验基本得到了预期结果。 实验结果说明 由本实验结果可看出,一阶系统阶跃响应是单调上升的指数曲线,特征有T确定,T越小,过度过程进行得越快,系统的快速性越好。 二阶系统 图1.1 图1.2 图1.3

系统传递函数: 令 二阶系统模拟线路 0.25 0.50 1.00 R4 210.5 C2 111 实测45.8% 16.9% 0.6% 理论44.5% 16.3% 0% T S实测13.9860 5.4895 4.8480 T S理论14.0065 5.3066 4.8243 阶跃响应曲线图2.1 图2.2 图2.3 注:T s理论根据matlab命令[os,ts,tr]=stepspecs(time,output,output(end),5)得出,否则误差较大。 误差计算及分析 1)当ξ=0.25时,超调量的相对误差= 调节时间的相对误差= 2)当ξ=0.5时,超调量的相对误差==3.7% 调节时间的相对误差==3.4% 4)当ξ=1时,超调量的绝对误差= 调节时间的相对误差==3.46% 误差分析:由于本试验中,用的参量比较多,有R1,R2,R3,R4;C1,C2;在它们的取值的实际调节中不免出现一些误差,误差再累加,导致最终结果出现了比较大的误差,另外,此实验用的导线要多一点,干扰和导线的传到误差也给实验结果造成了一定误差。但是在观察响应曲线方面,这些误差并不影响,这些曲线仍旧体现了它们本身应具有的特点,通过比较它们完全能够了解阶跃响应及其性能指标与系统参数之间的关系,不影响预期的效果。 实验结果说明 由本实验可以看出,当ωn一定时,超调量随着ξ的增加而减小,直到ξ达到某个值时没有了超调;而调节时间随ξ的增大,先减小,直到ξ达到某个值后又增大了。 经理论计算可知,当ξ=0.707时,调节时间最短,而此时的超调量也小于5%,此时的ξ为最佳阻尼比。此实验的ξ分布在0.707两侧,体现了超调量和调节时间随ξ的变化而变化的过程,达到了预期的效果。 图2.2 图2.1 图2.3

北航自动控制原理实验报告- 一、二阶系统的电子模拟及时域响应的动态测试

成绩 北京航空航天大学 自动控制原理实验报告 学院机械工程及自动化学院 专业方向机械工程及自动化 班级 学号 学生姓名刘帆 自动控制与测试教学实验中心

实验一 一、二阶系统的电子模拟及时域响应的动态测试 实验时间2014年11月15日 实验编号 同组同学 一、实验目的 1、 了解一、二阶系统阶跃响应及其性能指标与系统参数之间的关系。 2、 学习在电子模拟机上建立典型环节系统模型的方法。 3、 学习阶跃响应的测试方法。 二、实验内容 1、 建立一阶系统的电子模型,观测并记录在不同时间常数T 时的跃响应曲线,并测定其过渡过程时间T s 。 2、 建立二阶系统的电子模型,观测并记录在不同阻尼比ζ时的跃响应曲线,并测定其超调量σ%及过渡过程时间T s 。 三、实验原理 1、一阶系统阶跃响应性能指标的测试 系统的传递函数为:()s ()1 C s K R s Ts φ=+()= 模拟运算电路如下图 : 其中2 1 R K R = ,2T R C =;在实验中,始终保持21,R R =即1K =,通过调节2R 和C 的不同取值,使得T 的值分别为0.2,0.51,1.0。记录实验数据,测量过度过程的性能指标,其中取正负5%误差带,按照经验公式取3s t T =

2、二阶系统阶跃响应性能指标的测试 系 统 传递函数为: 令ωn=1弧度/秒,则系统结构如下图: 二阶系统的 模拟电路图如下: 在实验过程中,取22321,1R C R C ==,则 442312R R C R ζ==,即42 12R C ζ=;在实验当中取123121,1R R R M C C F μ===Ω==,通过调整4R 取不同的值,使得ζ分别为0.25,0.5,0.707,1;记录所测得的实验数据以及其性能指标,取正负5%误差 带,其中当ζ<1时经验公式为2 1 3.5 %100%,s n e t ζσζω- -=?= ,当ζ=1时经验公式 为n 4.75 ts ω= 四、试验设备: 1、HHMN-1型电子模拟机一台。 2、PC 机一台。 3、数字万用表一块。 4、导线若干。

电子电路综合实验报告

电子电路实验3 综合设计总结报告题目:波形发生器 班级:20110513 学号:2011051316 姓名:仲云龙 成绩: 日期:2014.3.31-2014.4.4

一、摘要 波形发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都需要信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。波形发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波、三角波、方波等,因而广泛用于通信、雷达、导航等领域。 二、设计任务 2.1 设计选题 选题七波形发生器 2.2 设计任务要求 (1)同时四通道输出,每通道输出矩形波、锯齿波、正弦波Ⅰ、正弦波Ⅱ中的一种波形,每通道输出的负载电阻均为1K欧姆。 (2)四种波形的频率关系为1:1:1:3(三次谐波),矩形波、锯齿波、正弦波Ⅰ输出频率范围为8 kHz—10kHz,正弦波Ⅱ输出频率范围为24 kHz—30kHz;矩形波和锯齿波输出电压幅度峰峰值为1V,正弦波Ⅰ、Ⅱ输出幅度为峰峰值2V。(3)频率误差不大于5%,矩形波,锯齿波,正弦波Ⅰ通带内输出电压幅度峰峰值误差不大于5%,正弦波Ⅱ通带内输出电压幅度峰峰值误差不大于10%,矩形波占空比在0~1范围内可调。 (4)电源只能选用+9V单电源,由稳压电源供给,不得使用额外电源。

三、方案论证 1.利用555多谐振荡器6管脚产生8kHz三角波,3管脚Vpp为1V的8kHz的方波。 2.三角波通过滞回比较器和衰减网络产生8kHzVpp为1V的方波。 3.方波通过反向积分电路产生8kHzVpp为1V的三角波。 4.方波通过二阶低通滤波器产生8kHz低通正弦波。 5.方波通过带通滤波器产生中心频率为27kHz的正弦波。 系统方框图见图1 图1 系统方框图 此方案可以满足本选题技术指标,分五个模块实现产生所需的波形,而且电路模块清晰,容易调试,电路结构简单容易实现。

FPGA实验报告北航电气技术实验

FPGA电气技术实践 实验报告 院(系)名称宇航学院 专业名称飞行器设计与工程(航天)学生学号XXXXXXXX 学生姓名XXXXXX 指导教师XXXX 2017年11月XX日

实验一四位二进制加法计数器与一位半加器的设计实验时间:2017.11.08(周三)晚实验编号20 一、实验目的 1、熟悉QuartusII的VHDL的文本编程及图形编程流程全过程。 2、掌握简单逻辑电路的设计方法与功能仿真技巧。 3、学习并掌握VHDL语言、语法规则。 4、参照指导书实例实现四位二进制加法计数器及一位半加器的设计。 二、实验原理 .略 三、实验设备 1可编程逻辑实验箱EP3C55F484C8 一台(包含若干LED指示灯,拨码开关等)2计算机及开发软件QuartusII 一台套 四、调试步骤 1四位二进制加法计数器 (1)参照指导书实例1进行工程建立与命名。 (2)VHDL源文件编辑 由于实验箱上LED指示灯的显示性质为“高电平灭,低电平亮”,为实现预期显示效果应将原参考程序改写为减法器,且”q1<= q1+1”对应改为”q1<= q1-1”,以实现每输入一个脉冲“亮为1,灭为0”。 由于参考程序中的rst清零输入作用并未实现,所以应将程序主体部分的最外部嵌套关于rst输入是否为1的判断,且当rst为1时,给四位指示灯置数”1111”实现全灭,当rst为0时,运行原计数部分。 (3)参照指导书进行波形仿真与管脚绑定等操作,链接实验箱并生成下载文件 (4)将文件下载至实验箱运行,观察计数器工作现象,调试拨动开关查看是否清零。 可以通过改变与PIN_P20(工程中绑定为clk输入的I/O接口)相连导线的另一端所选择的实验箱频率时钟的输出口位置,改变LED灯显示变化频率。 并且对照指导书上对实验箱自带时钟频率的介绍,可以通过改变导线接口转换输入快慢,排查由于clk输入管脚损坏而可能引起的故障。

北航17系光电子实验报告实验5讲解

光电子技术实验报告

实验五光电池特性实验 一.实验目的: 1.学习掌握硅光电池的工作原理。 2.学习掌握硅光电池的基本特性。 3.掌握硅光电池基本特性测试方法。 二.实验原理: 光电池是一种不需要加偏置电压就能把光能直接转换成电能的PN结光电器件,按光电池的功用可将其分为两大类:即太阳能光电池和测量光电池,本仪器用的是测量用的硅光电池,其主要功能是作为光电探测,即在不加偏置的情况下将光信号转换成电信号。 图(20)图(21)如图(20)所示为2DR型硅光电池的结构,它是以P型硅为衬底(即在本征型硅材料中掺入三价元素硼或镓等),然后在衬底上扩散磷而形成N型层并将其作为受光面。如图(21)所示当光作用于PN结时,耗尽区内的光生电子与空穴在内建电场力的作用下分别向N区和P区运动,在闭合电路中将产生输出电流IL,且负载电阻RL上产生电压降为U。显然,PN结获得的偏置电压U与光电池输出电流IL与负载电阻RL有关,即U=IL?RL,当以输出电流的IL为电流和电压的正方向时,可以得到如图(22)所示的伏安特性曲线。

图(22)图(23)光电池在不同的光强照射下可以产生不同的光电流和光生电动势,硅光电池的光照特性曲线如图(23)所示,短路电流在很大范围内与光强成线性关系,开路电压随光强变化是非线性的,并且当照度在2000lx时就趋于饱和,因此,把光电池作为测量元件时,应把它当作电流源来使用,不宜用作电压源。 硒光电池和硅光电池的光谱特性曲线如图(25)所示,不同的光电池其光谱峰值的位置不同,硅光电池的在800nm附近,硒光电池的在540nm附近,硅光电池的光谱范围很广,在450~1100nm之间,硒光电池的光谱范围为340~750nm。 图(24)图(25)光电池的温度特性主要描述光电池的开路电压和短路电流随温度变化的情况,由于它关系到应用光电池设备的温度漂移,影响到测量精度或控制精度等主要指标,光电池的温度特性如图(24)所示。开路电压随温度升高而下降的速度较快,而短路电流随温度升高而缓慢增加,因此,当使用光电池作为测量元件时,在系统设计中应考虑到温度的漂移,并采取相应的措施进行补偿。 三.实验所需部件: 两种光电池、各类光源、实验选配单元、数字电压表(4 1/2位)自备、微安表(毫安表)、激光器、照度计(用户选配)。

自动控制原理实验报告

自动控制原理 实验报告

实验一一、二阶系统的电子模拟及时域响应的动态测试 实验目的 1.了解一、二阶系统阶跃响应及其性能指标与系统参数之间的关系。 2.学习在电子模拟机上建立典型环节系统模型的方法。 3.学习阶跃响应的测试方法。 二、实验内容 1.立一阶系统的电子模型,观测并记录在不同时间常数T时的跃响应曲线, 并测定其过渡过程时间TS。 2.立二阶系统的电子模型,观测并记录在不同阻尼比ζ时的跃响应曲线, 并测定其超调量σ%及过渡过程时间TS。 三、实验原理 1.一阶系统: 系统传递函数为:错误!未找到引用源。 模拟运算电路如图1-1所示: 图1-1 由图得: 在实验当中始终取错误!未找到引用源。, 则错误!未找到引用源。, 错误!未找到引用源。 取不同的时间常数T分别为: 0.25、 0.5、1。 记录不同时间常数下阶跃响应曲线,测量纪录其过渡过程时 ts。(取错误! 未找到引用源。误差带) 2.二阶系统: 其传递函数为: 错误!未找到引用源。 令错误!未找到引用源。,则系统结构如图1-2所示:

图1-2 根据结构图,建立的二阶系统模拟线路如图1-3所示: 图1-3 取错误!未找到引用源。,错误!未找到引用源。,则错误!未找到引用源。及错误!未找到引用源。 错误!未找到引用源。取不同的值错误!未找到引用源。 , 错误!未找到引用源。, ,观察并记录阶跃响应曲线,测量超调量σ%(取错误!未找到引用源。误差带),计算过渡过程时间Ts。 四、实验设备 1.HHMN-1型电子模拟机一台。 2.PC 机一台。 3.数字式万用表一块。 4.导线若干。 五、实验步骤 1.熟悉HHMN-1型电子模拟机的使用方法,将各运算放大器接成比例器,通电调零。 2.断开电源,按照实验说明书上的条件和要求,计算电阻和电容的取值,按照模拟线路图搭接线路,不用的运算放大器接成比例器。 3.将D/A1与系统输入端Ui连接,将A/D1与系统输出端UO连接(此处连接必须谨慎,不可接错)。线路接好后,经教师检查后再通电。 4.在Windows XP桌面用鼠标双击MATLAB图标后进入,在命令行处键入autolab 进入实验软件系统。 5.在系统菜单中选择实验项目,选择实验一,在窗口左侧选择实验模型,其它步骤察看概述3.2节内容。 6.观测实验结果,记录实验数据,绘制实验结果图形,填写实验数据表格,完成实验报告。 7.研究性实验方法。实验者可自行确定典型环节传递函数,并建立系统的SIMULINK模型,验证自动控制理论相关的理论知识。实现步骤可察看概述3.3节内容。

北航电力电子实验报告

电力电子实验报告 学号12031006 王天然

实验一功率场效应晶体管(MOSFET)特性 与驱动电路研究 一.实验目的: 1.熟悉MOSFET主要参数的测量方法 2.掌握MOSEET对驱动电路的要求 3.掌握一个实用驱动电路的工作原理与调试方法 二.实验设备和仪器 1.NMCL-07电力电子实验箱中的MOSFET与PWM波形发生器部分 2.双踪示波器 3.安培表(实验箱自带)

4.电压表(使用万用表的直流电压档) 三.实验方法 1.MOSFET 主要参数测试 (1)开启阀值电压V GS(th)测试 开启阀值电压简称开启电压,是指器件流过一定量的漏极电流时(通常取漏极电流I D =1mA)的最小栅源极电压。 在主回路的“1”端与MOS 管的“25”端之间串入毫安表(箱上自带的数字安培表表头),测量漏极电流I D ,将主回路的“3”与“4”端分别与MOS 管的“24”与“23”相连,再在“24”与“23”端间接入电压表, 测量MOS 管的栅源电压Vgs ,并将主回路电位器RP 左旋到底,使Vgs=0。 图2-2 MOSFET实验电路

将电位器RP逐渐向右旋转,边旋转边监视毫安表的读数,当漏 极电流I D=1mA时的栅源电压值即为开启阀值电压V GS(th)。 读取6—7组I D、Vgs,其中I D=1mA必测,填入下表中。 I D 0.2 0.5 1 5 100 200 500 (mA) Vgs 2.64 2.72 2.86 3.04 3.50 3.63 3.89 (V) (2)跨导g FS测试 双极型晶体管(GTR)通常用h FE(β)表示其增益,功率MOSFET 器件以跨导g FS表示其增益。 跨导的定义为漏极电流的小变化与相应的栅源电压小变化量之 比,即g FS=△I D/△V GS。 ★注意典型的跨导额定值是在1/2额定漏极电流和V DS=15V下测得,受条件限制,实验中只能测到1/5额定漏极电流值,因此重点是掌握跨导的测量及计算方法。 根据上一步得到的测量数值,计算gFS=0.0038Ω

北航eda实验报告

2014-2015-2-G02A3050-1 电子电路设计训练(数字EDA部分) 实验报告 (2015年5月19日) 教学班学号姓名组长签名成绩120311王天然* 120311马璇 120312唐玥 自动化科学与电气工程学院

目录 ( 2015年5月19日).........................................错误!未定义书签。目录 .........................................................错误!未定义书签。实验一、简单组合逻辑和简单时序逻辑............................错误!未定义书签。 简单的组合逻辑设计..................................错误!未定义书签。 实验目的和内容:..................................错误!未定义书签。 实验源代码:......................................错误!未定义书签。 测试模块源代码:..................................错误!未定义书签。 简单分频时序逻辑电路的设计...........................错误!未定义书签。 实验目的和内容:..................................错误!未定义书签。 实验源代码:......................................错误!未定义书签。 实验测试源代码:..................................错误!未定义书签。 (选作)设计一个字节(8位)比较器....................错误!未定义书签。 实验内容:........................................错误!未定义书签。 实验代码:........................................错误!未定义书签。 实验测试源代码:..................................错误!未定义书签。 实验小结.............................................错误!未定义书签。实验二、条件语句和always过程块...............................错误!未定义书签。 实验任务1——利用条件语句实现计数分频时序电路.......错误!未定义书签。 实验要求.........................................错误!未定义书签。 模块的核心逻辑设计...............................错误!未定义书签。 测试程序的核心逻辑设计...........................错误!未定义书签。 仿真实验关键结果及其解释.........................错误!未定义书签。 实验任务2——用always块实现较复杂的组合逻辑电路....错误!未定义书签。

北航电涡流传感器实验报告

电涡流传感器实验报告 38030414蔡达 一、实验目的 1.了解电涡流传感器原理; 2.了解不同被测材料对电涡流传感器的影响。 二、实验仪器 电涡流传感器实验模块,示波器:DS5062CE,微机电源:WD990型,士12V,万用表:VC9804A型,电源连接电缆,螺旋测微仪 三、实验原理 电涡流传感器由平面线圈和金属涡流片组成,当线圈中通以高频交变电流后,在与其平行的金属片上会感应产生电涡流,电涡流的大小影响线圈的阻抗Z,而涡流的大小与金属涡流片的电阻率、导磁率、厚度、温度以及与线圈的距离X有关,当平面线圈、被测体(涡流片)、激励源确定,并保持环境温度不变,阻抗Z只与距离X有关,将阻抗变化转为电压信号V输出,则输出电压是距离X的单值函数。

四. 实验数据及处理 1.铁片 0.5 1 1.52 2.5 3 3.5 电涡流传感器电压位移曲线—铁片 电压/V 位移/mm

0.5 1 1.5 2 2.53 3.5 电涡流传感器电压位移拟合曲线—铁片 电压/V 位移/mm 其线性工作区为0.6——3.4,对该段利用polyfit 进行函数拟合,可得V=-1.0488X-1.2465 2.铜片

电涡流传感器电压位移曲线—铜片 电压/V 位移/mm 2.2 2.4 2.6 2.83 3.2 3.4 3.6 -6-5.95-5.9-5.85 -5.8-5.75-5.7 -5.65-5.6-5.55-5.5电涡流传感器电压位移拟合曲线—铜片 电压/V 位移/mm 其线性工作区为2.4——3.4,对该段利用polyfit 进行函数拟合,可得V= -0.4500X -4.4667

自动控制元件作业答案

《自动控制元件》作业 第一章 直流伺服电动机 1-1直流伺服电动机的电磁转矩和控制电流由什么决定? 答:a :由T em =C m ΦI a 知电磁转矩由每极磁通量和绕组电流大小决定。 b :由T em =T 0 +T 2 =CmΦIa 控制电流由负载转矩(T 2)和空载转矩(T 0)大小决定。 1-2当直流伺服电动机的负载转矩恒定不变时,控制电压升高将使稳态的电磁转矩、控制电流、转速发生怎样的变化?为什么? 答:a :电磁转矩T em =T 0 +T 2可见电磁转矩也不变。由T em =C m ΦI a 知控制电流 I a 也不变 b :KeKt RaTem Ke Ua n -=知T em 不变可见U a 转速升高理想空载转速变大导致转速n 升高。 1-3已知一台直流电动机,其电枢额定电压Ua=110V ,额定运行时电枢电流Ia=0.4A ,转速n=3600rpm ,它的电枢电阻Ra=50欧姆,负载阻转矩To=15mN.m 。试问该电动机额定负载转矩是多少? 答:Ea= Ua- IaRa=110-0.4×50=90V Ea=Ce Φn, Ce=0.105Cm Cm Φ=0.2383600 0.10590n 105.0=?=?Ea T em =T 0 +T 2=CmΦI a →T 2=CmΦIa -T 0 =0.40.238=0.0952-15×10-3=80.2mN.m 1-6当直流伺服电动机电枢电压、励磁电压不变时,如将负载转矩减少,试问此时电动机的电枢电流、电磁转矩、转速将怎样变化?并说明由原来的状态到新的稳态的物理过程。 答:磁转矩T em =T 0 +T 2可见T 2 ↓电磁转矩也↓。由T em =C m ΦI a 知控制电流I a ↓

模拟电子电路实验报告

实验一晶体管共射极单管放大器 一、实验目的 1、学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。 2、掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法。 3、熟悉常用电子仪器及模拟电路实验设备的使用。 二、实验原理 图2-1为电阻分压式工作点稳定单管放大器实验电路图。它的偏置电路采用R B1和R B2组成的分压电路,并在发射极中接有电阻R E,以稳定放大器的静态工作点。当在放大器的输入端加入输入信号u i后,在放大器的输出端便可得到一个与u i相位相反,幅值被放大了的输出信号u0,从而实现了电压放大。 图2-1 共射极单管放大器实验电路 在图2-1电路中,当流过偏置电阻R B1和R B2的电流远大于晶体管T 的 基极电流I B时(一般5~10倍),则它的静态工作点可用下式估算

CC B2 B1B1 B U R R R U +≈ U CE =U CC -I C (R C +R E ) 电压放大倍数 be L C V r R R βA // -= 输入电阻 R i =R B1 // R B2 // r be 输出电阻 R O ≈R C 由于电子器件性能的分散性比较大,因此在设计和制作晶体管放大电路时,离不开测量和调试技术。在设计前应测量所用元器件的参数,为电路设计提供必要的依据,在完成设计和装配以后,还必须测量和调试放大器的静态工作点和各项性能指标。一个优质放大器,必定是理论设计与实验调整相结合的产物。因此,除了学习放大器的理论知识和设计方法外,还必须掌握必要的测量和调试技术。 放大器的测量和调试一般包括:放大器静态工作点的测量与调试,消除干扰与自激振荡及放大器各项动态参数的测量与调试等。 1、 放大器静态工作点的测量与调试 1) 静态工作点的测量 测量放大器的静态工作点,应在输入信号u i =0的情况下进行, 即将放大 C E BE B E I R U U I ≈-≈

北航电气实验FPGA实验报告

北京航空航天大学电气实验报告 FPGA实验 张天 130325班 学号:13031220

一.实验目的 略 二.实验要求 略 三.实验设备 略 四.实验内容 略 五.实验实例 1.实例6-1 思考题1:输出信号q3q2q1绑定接口电路的七段数码管或米字型数码管或LED点 阵显示? 答: 思考题2:怎样修改成4位二进制减法计数器,具有清零,启动控制功能等? 答: 思考题3:把计数器修改成2位或更多位十进制计数功能,再用七段数码管进行显示等? 答: 2.实例6-2 思考题:一位半加器电路采用VHDL语言实验 答: library ieee; use ieee.std_logic_1164.all; use iee.std_logic_unsigned.all; entity halfadd is port (a,b;in std_logic sum,carry; out std_logic) end entity halfadd; architecture halfadd is begin sum<=a and(not b)+b and (not a); carry<= a and b; end architecture halfadd; 六.实验过程 我们组做的是一个利用led点阵规律亮灭变化形成字体,并且字体产生变化,形成“自动化?”的样子,实现图片如下图:

1.实验分析: 实验设计思路: 本实验的设计思路是利用led灯的 辉光效应,利用逐行扫描,在高频情况下就会显示所有行的亮灯,进而形成汉字,并且有时钟计数程序,当时钟数字达到规定值(本实验为111111111b)时,跳转到下一个状态,显示第二个憨子。每个汉字的颜色由led灯决定,改led矩阵有红绿两种led灯,因此有红绿橙三种颜色显示。 2.实现过程 对设计思路的实现并非一帆风顺,最初编写的时候遇到了一些问题。首先,定义输入输出角是个繁琐的事情(需要定义40+次,每次必须手动),另外,在程序编写过程中,也出现了一些逻辑错误,对于错误,我们仔细逐条语句分析,最终解决了错误,解决过程中也加深了对FPGA的语言逻辑及硬件结构的理解。 七.FPGA使用心得 在学习FPGA过程中,我获得了很多收获。首先,由于有单片机的基础,上手过程并不是十分复杂,对于输入输出的理解我没有遇到太多阻碍。这次学习也验证了我具有短时间内掌握一款新型的芯片的能力。学习过程中最大的困难就是VDHL语言的编写。我们以前有c语言的基础,不过学习这种新的语言还是花出了不少时间与精力,现在可以说基本掌握的VDHL的基本写法和思路,能运 用到需要的程序中来。另外,调试的过程能极强地加大对程序的理解及逻辑的构建,在调试中,逐渐明白了以前不懂的东西,对FPGA的工作原理的理解更加透彻了。 不了解的人可能会把FPGA当做一种单片机,但其实,相比于单片机,FPGA 是有很多优势的。其无固定的硬件结构使其具有远超单片机的灵活性,另外,它的编程方法可以同步进行多个process 使其能同步处理多个进程,因此,它的

相关文档
相关文档 最新文档