文档库 最新最全的文档下载
当前位置:文档库 › 实验2-1-答案

实验2-1-答案

实验2-1-答案
实验2-1-答案

白盒测试用例练习

1.为以下所示的程序段设计一组测试用例,要求分别满足语句覆盖、判定覆盖、条件覆盖、判定/条件覆盖、组合覆盖和路径覆盖,并画出相应的程序流程图。

void DoWork (int x,int y,int z)

{

int k=0,j=0;

if ( (x>3)&&(z<10) )

{ k=x*y-1;

j=sqrt(k); //语句块1

}

if ( (x==4)||(y>5) )

{

j=x*y+10;

} //语句块2

j=j%3; //语句块3

}

由这个流程图可以看出,该程序模块有4条不同的路径:

P1:(a-c-e) P2:(a-c-d)

P3:(a-b-e) P4:(a-b-d)

将里面的判定条件和过程记录如下:

判定条件M={x>3 and z<10}

判定条件N={x=4 or y>5}

1、语句覆盖

2、判定覆盖

也可以让测试用例测试路径P2和P3。相应的两组输入数据如下:

3、条件覆盖

对于M:x>3取真时T1,取假时F1;

z<10取真时T2,取假时F2;

对于N:x=4取真时T3,取假时F3;

y>5取真时T4,取假时F4。

条件:x>3,z<10,x=4,y>5

条件:x<=3,z>=10,x!=4,y<=5

5、组合覆盖

条件组合

1)x>3,z<10 2)x>3,z>=10

3) x<=3,z<10 4)x<=3,z>=10

5)x=4,y>5 6)x=4,y<=5

7)x!=4,y>5 8)x!=4,y<=5

6、路径覆盖

电路实验第一次作业(带答案)

实验二基尔霍夫定律的验证 一、实验目的 1.通过实验验证基尔霍夫电流定律和电压定律 2.加深理解“节点电流代数和”及“回路电压代数和”的概念 3.加深对参考方向概念的理解 二、原理 基尔霍夫节点电流定律 ∑ I= 基尔霍夫回路电压定律 ∑ U= 参考方向: 当电路中的电流(或电压)的实际方向与参考方向相同时取正值,其实际方向与参考方向相反时取负值。 三、实验仪器和器材 1.0-30V可调直流稳压电源 2.+15直流稳压电源 3.200mA可调恒流源 4.电阻 5.交直流电压电流表 6.实验电路板 7.短接桥 8.导线 四、实验内容及步骤 1.验证基尔霍夫电流定律(KCL) 可假定流入该节点的电流为正(反之也可),并将电流表负极接在节点接口上,电流表正极接到支路接口上进行测量。测量结果如2-1所示。

图2-1 2.验证基尔霍夫回路电压定律(KVL) 用短接桥将三个电流接口短接,测量时可选顺时针方向为绕行方向,并注意电压表的指针偏转方向及取值的正与负,测量结果如表2-2所示。 图2-2

五、思考题 1.利用表2-1和表2-2中的测量结果验证基尔霍夫两个定律。 表一中数据有4.0A+5.1A-9.1A=0这与基尔霍夫电流定律一致; 表二中数据有1.6V+2.7V+5.7V-10V=0; 14.9V-4.2V-2V-5.5V=0; 这与基尔霍夫电压定律基本一致;可见,基尔霍夫电压定律成立 2.利用电路中所给数据,通过电路定律计算各支路电压和电流,并计算测量值与计算值之 间的误差,分析误差产生的原因。 测量误差;读数误差以及所用电表并非理想电表;电压表内阻不是无穷大;电流表内阻不为零;电源输出不稳定;仪器不准确;导线有电阻等。 3.回答下列问题 (1)已知某支路电流约为3mA,现有一电流表分别有20mA、200mA和2A三挡量程,你将使用电流表的哪档量程进行测量?为什么? 选20mA档,因为只有20mA档最接近3mA的电流,其他的档位均太大,造成测量误差大。 (2)改变电流或电压的参考方向,对验证基尔霍夫定律有影响吗?为什么? 没影响。基尔霍夫电压定律的根本原理是回路电压之和为零;基尔霍夫电流定律的根本原理是回路电流相等,改变电压或电流方向,不会影响电压之和为零和回路电流相等的根本规律,因此对验证基尔霍夫定律没有影响 小家伙们,够给力吧!

海大-EDA实验1参考答案

Laboratory Exercise 1 Switches, Lights, and Multiplexers ED实验参与答案 Part1 library ieee; use ieee.std_logic_1164.all; entity part1 is port(SW:in std_logic_vector(17 downto 0); LEDR:out std_logic_vector(17 downto 0)); end part1; architecture Behavior of part1 is begin LEDR <= SW; end Behavior; part2 library ieee; use ieee.std_logic_1164.all; --a 2 to 1 multiplexer entity entity mux21 is port(in_x, in_y, in_s:in std_logic; out_m:out std_logic); end mux21; --a 2 to 1 multiplexer architecture architecture structural of mux21 is signal u, v:std_logic; begin u <= in_x and (not in_s); v <= in_y and in_s ; out_m <= u or v ; end structural;

--a eight-bit wide 2 to 1 multiplexer library ieee; use ieee.std_logic_1164.all; --eight-bit wide 2 to 1 multiplexer entity entity mux21_8bit is port( SW: in std_logic_vector (17 downto 0); --SW: in std_logic_vector (15 downto 8); --SW: in std_logic_vector (17 downto 17); LEDR: out std_logic_vector (7 downto 0)); end mux21_8bit; --eight-bit wide 2 to 1 multiplexera rchitecture architecture Structural of mux21_8bit is component mux21 port(in_x, in_y, in_s:in std_logic; out_m:out std_logic); end component; begin U1:mux21port map (in_x=>SW(0), in_y=>SW(8), in_s=>SW(17), out_m=>LEDR(0)); U2:mux21port map (in_x=>SW(1), in_y=>SW(9), in_s=>SW(17), out_m=>LEDR(1)); U3:mux21port map (in_x=>SW(2), in_y=>SW(10), in_s=>SW(17), out_m=>LEDR(2)); U4:mux21port map (in_x=>SW(3), in_y=>SW(11), in_s=>SW(17), out_m=>LEDR(3)); U5:mux21port map (in_x=>SW(4), in_y=>SW(12), in_s=>SW(17), out_m=>LEDR(4)); U6:mux21port map (in_x=>SW(5), in_y=>SW(13), in_s=>SW(17), out_m=>LEDR(5)); U7:mux21port map (in_x=>SW(6), in_y=>SW(14), in_s=>SW(17), out_m=>LEDR(6)); U8:mux21port map (in_x=>SW(7), in_y=>SW(15), in_s=>SW(17), out_m=>LEDR(7)); end Structural; part3 library ieee; use ieee.std_logic_1164.all; --a 2 to 1 multiplexer entity entity mux21 is port(in_x, in_y, in_s:in std_logic; out_m:out std_logic);

实验设计与数据处理试题库

一、名词解释:(20分) 1. 准确度和精确度:同一处理观察值彼此的接近程度同一处理的观察值与其真值的接近程度 2. 重复和区组:试验中同一处理的试验单元数将试验空间按照变异大小分成若干个相对均匀的局部,每个局部 就叫一个区组 3回归分析和相关分析:对能够明确区分自变数和因变数的两变数的相关关系的统计方法: 对不能够明确区分自变数和因变数的两变数的相关关系的统计方法 4?总体和样本:具有共同性质的个体组成的集合从总体中随机抽取的若干个个体做成的总体 5. 试验单元和试验空间:试验中能够实施不同处理的最小试验单元所有试验单元构成的空间 二、填空:(20分) 1. 资料常见的特征数有:(3空)算术平均数方差变异系数 2. 划分数量性状因子的水平时,常用的方法:等差法等比法随机法(3空) 3. 方差分析的三个基本假定是(3空)可加性正态性同质性 4. 要使试验方案具有严密的可比性,必须(2空)遵循“单一差异”原则设置对照 5. 减小难控误差的原则是(3空)设置重复随机排列局部控制 6. 在顺序排列法中,为了避免同一处理排列在同一列的可能,不同重复内各处理的排列方式常采用(2空)逆向式 阶梯式 7. 正确的取样技术主要包括:()确定合适的样本容量采用正确的取样方法 8. 在直线相关分析中,用(相关系数)表示相关的性质,用(决定系数)表示相关的程度。 三、选择:(20分) 1试验因素对试验指标所引起的增加或者减少的作用,称作(C) A、主要效应 B、交互效应 C、试验效应 D、简单效应 2. 统计推断的目的是用(A) A、样本推总体 B、总体推样本 C、样本推样本 D、总体推总体 3. 变异系数的计算方法是(B) 4. 样本平均数分布的的方差分布等于(A) 5. t检验法最多可检验(C)个平均数间的差异显著性。 6. 对成数或者百分数资料进行方差分析之前,须先对数据进行(B) A、对数 B、反正弦 C、平方根 D、立方根 7. 进行回归分析时,一组变量同时可用多个数学模型进行模拟,型的数据统计学标准是(B) A、相关系数 B、决定性系数 C、回归系数 D、变异系数 8. 进行两尾测验时,u0.10=1.64,u0.05=1.96,u0.01=2.58,那么进行单尾检验,u0.05=(A) 9. 进行多重比较时,几种方法的严格程度(LSD\SSR\Q)B 10. 自变量X与因变量Y之间的相关系数为0.9054,则Y的总变异中可由X与Y的回归关系解释的比例为(C) A、0.9054 B、0.0946 C、0.8197 D、0.0089 四、简答题:(15分) 1. 回归分析和相关分析的基本内容是什么?(6分)配置回归方程,对回归方程进行检验,分析多个自变量的主次 效益,利用回归方程进行预测预报: 计算相关系数,对相关系数进行检验 2. 一个品种比较试验,4个新品种外加1个对照品种,拟安排在一块具有纵向肥力差异的地块中,3次重复(区组),各重复内均随机排列。请画出田间排列示意图。(2分) 3. 田间试验中,难控误差有哪些?(4分)土壤肥力,小气候,相邻群体间的竞争差异,同一群体内个体间的竞争 差异。 4随即取样法包括哪几种方式?(3分)简单随机取样法分层随机取样法整群简单随机取样法 五、计算题(25分) 1. 研究变数x与y之间的关系,测得30组数据,经计算得出:x均值=10,y均值=20,l xy =60, l yy=300,r=0.6。根

实验六

6.1一维数组 【实验目的】 1.熟练掌握使用一维数组编程的方法。 2.熟练掌握排序算法。 【实验内容】 1调试示例 输入一个正整数n,再输入n个整数,将它们排序后由小到大输出。(error07_1.cpp) 源程序(有错误的程序) #include void main() { int i,j,num,a[num]; int change,t; printf("Enter Number: "); scanf("%d",&num); printf("Enter Data: \n"); for(i=0;i0 && change;i--) { change=0; for(j=0;j<=i;j++) if(a[j]>a[j+1]) { /*调试时设置断点*/ t=a[j]; a[j]=a[j+1]; a[j+1]=t; change=1; } } /*调试时设置断点*/ printf("Sorted data:\n"); for(i=0;i

MATLAB)课后实验答案[1]

实验一 MATLAB 运算基础 1. 先求下列表达式的值,然后显示MATLAB 工作空间的使用情况并保存全部变量。 (1) 0 12 2sin851z e =+ (2) 221ln(1)2 z x x =++,其中2 120.45 5i x +??=? ?-?? (3) 0.30.330.3sin(0.3)ln , 3.0, 2.9,,2.9,3.022a a e e a z a a --+= ++=-- (4) 2242011 122123t t z t t t t t ?≤=0&t<1).*(t.^2)+(t>=1&t<2).*(t.^2-1)+(t>=2&t<3) .*(t.^2-2*t+1)

4. 完成下列操作: (1) 求[100,999]之间能被21整除的数的个数。 (2) 建立一个字符串向量,删除其中的大写字母。 解:(1) 结果: m=100:999; n=find(mod(m,21)==0); length(n) ans = 43 (2). 建立一个字符串向量例如: ch='ABC123d4e56Fg9';则要求结果是: ch='ABC123d4e56Fg9'; k=find(ch>='A'&ch<='Z'); ch(k)=[] ch =

实验设计习题课2012

实验设计练习题 1.用一种复合饲料饲养动物,每天增重的kg数及其相应的概率如下: 问:(1)每天增重的数学期望是多少?(2)方差是多少? 2.在容量分析中,计算组分含量的公式为W=Vc,其中V是滴定时消耗滴定液的体积,c是滴定液的浓度。今用浓度为(1.000±0.001)mg/mL的标准溶液滴定某试液,滴定时消耗滴定液的体积为(20.00±0.02)mL,试求滴定结果的绝对误差和相对误差。 3.甲、乙两发酵法生产青霉素的工厂,其产品收率的方差分别为S12=0.46S22=0.37.现甲工厂测得25个数据,X=3.71g/L,乙工厂测得30个数据,Y=3.46 g/L,问它们的收率是否相同? 4.为检验某种血清预防感冒的作用,将用了血清的500人与未用血清的另500人在一年中的医疗记录进行比较,统计它们是否曾患感冒,得下表中的数据。问这种血清对预防感冒是否有效? 5.某城市从4个排污口取水,经两种不同方法处理后,检测大肠杆菌数量,单位面积内菌落数列于下表。请检验它们是否有差别。

6.用两种不同的实验方法,测定同一种材料,得到以下两组数据,试进行回归分析。 7.茵陈蒿是由茵陈、栀子和大黄3味药组成。为研究这3味药对利胆作用的最佳配方,取成年大白鼠做正交试验。以引流胆汁的充盈长度(cm)为指标(给药前与给药后毎10分钟的均数之差作为统计分析的实验指标值)。考察的因素与水平如下:

8.用三种方法从一种野生植物中提取有效成分,按4种不同浓度加入培养基,观察该成分刺激细胞转化的作用,由于条件有限,每天只完成一个重复,三天完成全部试验。试进行数据分析. 9.某啤酒厂在试验用不发芽的大麦制造啤酒的新工艺过程中,选择因素及其水平如下表,不考虑因素间的交互作用。考察指标Yi为粉状粒,越高越好。采用拟水平法将因素D的第一水平136重复一次作为第3水平,按L9(34)安排试验,得试验结果如下表,试进行方差分析,并找出好的工艺条件。

大学物理实验作业答案(全部)

教育技术专业《大学物理实验》课后部份习题答案 控制电路的研究(PASCO 综合性实验) 1.在限流和分压实验中,当连接完电路准备通电前,应使滑动头C 移到哪一端?为什么?(P191思考题) 答:如图1所示,在限流实验中,当连接完电路准备通电前,应使滑动头C 移到B 端,使限流有效电阻最大,可让回路中电流从小变到大。 如图2所示,在分压实验中,当连接完电路准备通电前,应使滑动头C 移到A 端,使分压有效电阻最小,可控制电压从小变到大。 2. 有人说,分压电路是用来控制电压,限流电路是用来控制电路电流的,你认为这种说法对吗?(P191 思考题) 答:这种说法太片面。因为,分压电路控制范围:V 是0E →,I 是0 0E R → 。限流电路控制范围:V 是 00R E E R R →+,I 是 00 E E R R R → +。所以,无论是分压还是限流控制电流,都能进行控制电路的电压和电 流,只是在具体电路中,控制程度不同。 霍尔效应效应及其磁场的测量 1、什么是霍尔效应?采用霍尔效应测量磁场时,要测量哪些物理量? 答:①导体或半导体薄膜材料在外加电场作用下,载流子产生定向运动,运动的电荷在磁场中受到洛仑兹 力作用使电荷产生横向的偏转,由于样品有边界,所以偏转的载流子将在边界积累起来,产生一个横向电场,这种现象就是霍尔效应。 ②霍尔电压B I K U S H H =,对于一定的霍尔元件,其灵敏度H K 是一个常量,已测量;因此,采用霍尔效应测量磁场时,需要测量霍尔电流S I 和对应的磁感应强度B 两个物理量。 2、使用霍尔效应测量磁场时,如何消除其副效应的影响? 答: 使用霍尔效应测量磁场时,可以采用对称测量法消除副效应的影响。选择电流和磁场的四种取向组 合),(S I B ++、),(S I B -+、),(S I B --、),(S I B +-测得四组电压值1U 、2U 、3U 、4U ,再根据下 式算出霍尔电压值4 4 321U U U U U H -+-=。 磁阻效应 1、什么叫做磁阻效应?磁阻效应是怎样产生的? 答:①一定条件下,导电材料的电阻值R 随磁感应强度B 变化的现象成为磁阻效应; ②当导体或半导体处于磁场中时,导体或半导体的载流子将受洛仑兹力的作用,发生偏转,在两端产生 图1 图2

C实验报告1参考答案范文

《高级语言程序设计》实验报告 班级: 学号: 姓名: 成绩: 实验1熟悉Visual C++6.0环境及运行C 程序 一、实验目的 1.掌握在Visual C++6.0环境下C 程序的建立、编辑、编译和执行过程。 2.掌握C 程序的最基本框架结构,完成简单程序的编制与运行。 3.了解基本输入输出函数scanf()、printf ()的格式及使用方法。 4.掌握发现语法错误、逻辑错误的方法以及排除简单错误的操作技能。 二、实验内容 1.下列程序的功能是:从键盘输入两个数a 和b ,求它们的平方和,并在屏幕上输出。输入该C 程序,编译并运行之,记下屏幕的输出结果,以文件名sy1_1.c 保存。 #include main() { int a,b,sum; /*定义整型变量a 、b 、sum */ printf("Please Input a,b \n "); /*输出提示信息*/ scanf("%d%d",&a,&b); /*从键盘输入两个整数分别赋予a 和b*/ sum=a*a+b*b; /*赋值语句,把a 2+b 2的结果赋给变量sum*/ printf("%d*%d+ %d*%d=%d\n",a,a,b,b,sum); /*输出语句*/ } (1)下列程序的功能为:计算x*y 的值并将结果输出。试纠正程序中存在的错误,以实现其功能。程序以文件名sy1_2.c 保存。 #include main main () { int x=2;y=3;a int x=2;y=3;a ;

A=x*y a =x*y ; print ('a=%d",A); print f ("a=%d",a ); printf("\n"); } (2)下程序的功能为:求两数中的较大数据并输出。纠正程序中存在的错误,以实现其功能。程序以文件名 sy1_3.c 保存。 #include viod main() void main() { int a ,b , max; Scanf(“%d,%d ”,&a,&b); s canf(“%d,%d ”,&a,&b); Max=a; m ax=a; If (max main() { int x,y,total; /* 定义整型变量x,y,total*/ printf("Please input x,y ! "); /* 输出提示信息 */ scanf(“%d%d ”,&x,&y); /* 由键盘输入两个数分别赋予x 和y*/ total=x+y; /* 赋值语句 */ printf("%d + %d=%d\n",x,y,total); /* 输出两个整数的和 */ }

实验设计习题带答案

一、(10分)根据遗传物质的化学组成,可将病毒分为RNA病毒和DNA病毒两种类型。有些病毒对人类健康会造成很大危害。通常,一种新病毒出现后需要确定该病毒的类型。 假设在宿主细胞内不发生碱基之间的相互转换,请利用放射性同位素标记的方法,以体外培养的宿主细胞等为材料,设计实验以确定一种新病毒的类型,简要写出: (1)实验思路, (2)预期实验结果及结论即可。(要求:实验包含可相互印证的甲、乙两个组) 二、(12分)已知某种昆虫的有眼(A)与无眼(a)、正常刚毛(B)与小刚毛(b)、正常翅(E)与斑翅(e)这三对相对性状各受一对等位基因控制。现有三个纯合品系:①aaBBEE、②AAbbEE和③AABBee。假定不发生染色体变异和染色体交换,回答下列问题: (1)若A/a、B/b、E/e这三对等位基因都位于常染色体上,请以上述品系为材料,设计实验来确定这三对等位基因是否分别位于三对染色体上。(要求:写出实验思路、预期结果、得出结论) (2)假设A/a、B/b这两对等位基因都位于X染色体上,请以上述品系为材料,设计实验对这一假设进行验证。(要求:写出实验思路、预期结果、得出结论) 三、遗传学家在两个纯种小鼠品系中均发现了眼睛变小的隐形突变个体,欲通过一代杂交实验确定这两个隐性突变基因是否为同一基因的等位基因,写出实验思路。 四、等位基因A和a可能位于X染色体上,也可能位于常染色体上。假设某女孩的基因型是X A X A或AA,其祖父的基因型是或Aa,祖母的基因型是或Aa,外祖父的基因型是或Aa,外祖母的基因型是或Aa。 不考虑基因突变和染色体变异,请回答下列问题: (1)如果这对等位基因位于常染色体上,能否确定该女孩的2个显性基因A来自于祖辈4人中的具体哪两个人?为什么?。 (2)如果这对等位基因位于X染色体上,那么可判断该女孩两个中的一个必然来自 于(填“祖父”或“祖母”),判断依据是;此外,(填“能”或“不能”)确定另一个来自于外祖父还是外祖母。 五、果蝇是遗传学实验的好材料,某生物兴趣小组用果蝇做了如下实验。(12分) (1)该小组做染色体组型实验时,发现了一种性染色体组成为XYY的雄果蝇,你认为这种雄果蝇形成的原因为。(2分)

SPSS操作实验作业1(附答案)

SPSS操作实验 (作业1) 作为华夏儿女都曾为有着五千年的文化历史而骄傲过,作为时代青年都曾为中国所饱受的欺压而愤慨过,因为我们多是炎黄子孙。然而,当代大学生对华夏文明究竟知道多少呢 某研究机构对大学电气、管理、电信、外语、人文几个学院的同学进行了调查,各个学院发放问卷数参照各个学院的人数比例,总共发放问卷250余份,回收有效问卷228份。调查问卷设置了调查大学生对传统文化了解程度的题目,如“佛教的来源是什么”、“儒家的思想核心是什么”、“《清明上河图》的作者是谁”等。调查问卷给出了每位调查者对传统文化了解程度的总得分,同时也列出了被调查者的性别、专业、年级等数据信息。请利用这些资料,分析以下问题。 问题一:分析大学生对中国传统文化的了解程度得分,并按了解程度对得分进行合理的分类。 问题二:研究获得文化来源对大学生了解传统文化的程度是否存在影响。 要求: 直接导出查看器文件为.doc后打印(导出后不得修改) 对分析结果进行说明,另附(手写、打印均可)。 于作业布置后,1周内上交 本次作业计入期末成绩

答案 问题一 操作过程 1.打开数据文件作业。同时单击数据浏览窗口的【变量视图】按钮,检查各个 变量的数据结构定义是否合理,是否需要修改调整。 2.选择菜单栏中的【分析】→【描述统计】→【频率】命令,弹出【频率】对 话框。在此对话框左侧的候选变量列表框中选择“X9”变量,将其添加至【变量】列表框中,表示它是进行频数分析的变量。 3.单击【统计量】按钮,在弹出的对话框的【割点相等组】文本框中键入数字 “5”,输出第20%、40%、60%和80%百分位数,即将数据按照题目要求分为等间隔的五类。接着,勾选【标准差】、【均值】等选项,表示输出了解程度得分的描述性统计量。再单击【继续】按钮,返回【频率】对话框。

水力学实验1-参考答案

水力学实验 参考答案 静水压强实验 1.同一静止液体内的测压管水头线是根什么线? 测压管水头指p z +,即静水力学实验仪显示的测压管液面至基准面的垂直高度。测压管水头线指测压管液面的连线。实验直接观察可知,同一静止液面内的测压管水头线是一根水平线。 2.当0?B p 时,试根据记录数据,确定水箱内的真空区域。 0?B p ,相应容器的真空区域包括以下三个部分: (1)过测压管2液面作一水平面,由等压面原理知,相对测压管2及水箱内的水体而言,该水平面为等压面,均为大气压强,故该平面以上由密封的水、气所占区域,均为真空区域。 (2)同理,过箱顶小不杯的液面作一水平面,测压管4中,该平面以上的水体亦为真空区域。 (3)在测压管5中,自水面向下深度某一段水柱亦为真空区域。这段高度与测压管2液面低于水箱液面的高度相等,亦与测压管4液面高于小水杯液面高度相等。 3.若再备一根直尺,试采用另外最简便的方法测定0γ。 最简单的方法,是用直尺分别测量水箱内通大气情况下,管5油水界面至水面和油水界面至油面的垂直高度h 和0h ,由式00h h w w γγ= ,从而求得0γ。 4.如测压管太细,对于测压管液面的读数将有何影响? 设被测液体为水,测压管太细,测压管液面因毛细现象而升高,造成测量误差,毛细高度由下式计算 γ θσd h cos 4= 式中,σ为表面张力系数;γ为液体容量;d 为测压管的内径;h 为毛细升高。常温的水,

m N 073.0=σ,30098.0m N =γ。水与玻璃的浸润角θ很小,可以认为0.1cos =θ。于是有 d h 7.29= (h 、d 均以mm 计) 一般来说,当玻璃测压管的内径大于10mm 时,毛细影响可略而不计。另外,当水质不洁时,σ减小,毛细高度亦较净水小;当采用有机下班玻璃作测压管时,浸润角θ较大,其h 较普通玻璃管小。 如果用同一根测压管测量液体相对压差值,则毛细现象无任何影响。因为测量高、低压强时均有毛细现象,但在计算压差时,互相抵消了。 5.过C 点作一水平面,相对管1、2、5及水箱中液体而言,这个水平面是不是等压面?哪一部分液体是同一等压面? 不全是等压面,它仅相对管1、2及水箱中的液体而言,这个水平面才是等压面。因为只有全部具有下列5个条件的平面才是等压面:(1)重力液体;(2)静止;(3)连通;(4)连通介质为同一均质液体;(5)同一水平面。而管5与水箱之间不符合条件(4),相对管5和水箱中的液体而言,该水平面不是水平面。 6、用该实验装置能演示变液位下的恒定水流吗? 关闭各通气阀门,开启底阀,放水片刻,可看到有空气由C 进入水箱。这时阀门的出流就是变液位下的恒定水流。因为由观察可知,测压管1的液面始终与C 点同高,表明作用于底阀上的总水头不变,故为恒定流动。这是由于液位的降低与空气补充使箱体表面真空度的减小处于平衡状态。医学上的点滴注射就是此原理应用的一例,医学上称这为马利奥特容器的变液位下恒定流。

土木工程实验作业答案

姓名:黄玮 院校学号:150032203157 学习中心:石家庄 层次:专升本 专业:土木工程 实验一:混凝土实验 一、实验目的:熟悉混凝土的技术性质和成型养护方法;掌握砼拌合物工作性的测定和评定方法;通过检验砼的立方体抗压强度,掌握有关强度的评定方法。 二、配合比信息: 1.基本设计指标 (1)设计强度等级C30 (2)设计砼坍落度30-50mm 2.原材料 (1)水泥:种类复合硅酸盐水泥强度等级P.C 32.5 (2)砂子:种类河砂细度模数 2.6 (3)石子:种类碎石粒级5-31.5mm (4)水:洁净的淡水或蒸馏水 3.配合比:(kg/m3) 三、实验内容: 第1部分:混凝土拌合物工作性的测定和评价

1、实验仪器、设备:电子秤、量筒、坍落度筒、拌铲、小铲、捣棒(直径16mm、长600mm,端部呈半球形的捣棒)、拌合板、金属底板等。 2、实验数据及结果 第2部分:混凝土力学性能检验 1、实验仪器、设备:标准试模:150mm×150mm×150 mm 、振动台、压力试验机(测量精度为±1%,时间破坏荷载应大于压力机全量程的20%;且小于压力机全量程的80%。)、压力试验机控制面板、标准养护室(温度20℃±2℃,相对湿度不低于95%。) 2、实验数据及结果 四、实验结果分析与判定: (1)混凝土拌合物工作性是否满足设计要求,是如何判定的? 答:满足设计要求。实验要求混凝土拌合物的塌落度30—50mm,而此次实验结果中塌落度为40mm,符合要求;捣棒在已塌落的拌合物锥体侧面轻轻

敲打,锥体逐渐下沉表示粘聚性良好;塌落度筒提起后仅有少量稀浆从底部析出表示保水性良好。 (2)混凝土立方体抗压强度是否满足设计要求。是如何判定的? 答:满足设计要求。该组试件的抗压强度分别为31.7MPa、38.4MPa、38.7 MPa, 因31.7与38.4的差值大于38.4的15%,因此把最大值最小值一并舍除,取38.4 MPa作为该组试件的抗压强度值,38.4 MPa大于38.2 MPa,因此所测混凝土强度满足设计要求。 实验二:钢筋混凝土简支梁实验 一、实验目的: 1.分析梁的破坏特征,根据梁的裂纹开展判断梁的破坏形态。2.观察裂纹开展,记录梁受力和变形过程,画出荷载挠度曲线。3.根据每级荷载下应变片的应变值分析应变沿截面高度是否成线性。4.测定梁开裂荷载和破坏荷载,并与理论计算值进行比较。 二、实验基本信息: 1.基本设计指标 (1)简支梁的截面尺寸150mm×200mm (2)简支梁的截面配筋(正截面) 2.材料 (1)混凝土强度等级C30 (2)钢筋强度等级HRB335 三、实验内容: 第1部分:实验中每级荷载下记录的数据

实验六答案

实验六函数与编译预处理答案 一、断点的设置和取消、单步执行跟踪进入函数和跳出函数方法 练习 2、调试练习: 要求:模仿示例调试以下程序,直到输出正确结果,源程序名为error6_2.c 程序功能:利用函数调用求“1!+2!+3!+4!+...+10!”的值(参见实验教材72页程序填空题第(1)小题) 源程序(有错误的程序——不是语法错误,而是算法错误或逻辑错误): 改正错误后的源程序: void main() { long s=0,i; long mm(int k); for(i=1;i<=10;i++) s=s+mm(i); printf("1!+2!+3!+...+10!=%ld\n",s); } long mm(int k) { long t=1, j; for(j=1;j<=k;j++) t=t*j; return (t); } 3、综合调试、改错练习: 以下程序有多处错误,请综合运用前面所学过的所有知识和VC++的调试手段,改正程序的各种错误,使其运行出正确的结果。程序的功能是:利用函数调用求任意两个整数的和。 改正错误后的源程序:

main() { int sum(int a,int b); int x,y; scanf("%d,%d",&x,&y); printf("x=%d,y=%d\n",x,y); printf("%d+%d=%d\n",x,y,sum(x,y)); } int sum(int a,int b) { return (a+b); } 二、编程练习 利用VC++编写程序,并调试、运行,直到输出正确结果。编程练习1: 注意: 这里提供了以填空的形式出现源程序参考清单,目的是给同学们一个思路。最好不要将此源程序清单复制到VC++中,否则会出现多处语法错误。同学们可以据此思路来填写有关语句,从而完成程序。在调试程序时,一定要运用前面学过的方法。 下面函数是实现求[1,3000]能被17或23整除的整数的个数。请将程序补充完整,并调试运行,直到输出正确结果。(源文件名为blank6_1.c) 完成填空后的源程序: #include int f(int m, int n) { int i, count=0; for(i=m; i<=n; i++) if(i%17==0||i%23==0) count++; return count; } main() {int m,n; scanf("%d%d",&m,&n); printf("count=%d\n", f(m,n)); }

数据库实验1-6参考答案

实验一SQL Server使用初步 一、实验目的 1、熟悉SQL Server2000的组成及基本功能。 2、掌握SQL Server2000的登录及注册。 3、掌握SQL Server2000企业管理器的使用方法。 4、熟悉查询分析器的基本使用。 二、实验预习 1、什么是数据库管理系统DBMS?你所知道的DBMS有哪些? 答:DBMS是位于用户和操作系统之间的一层数据管理软件。常见的DBMS主要有:Oracle、db2、SQL Server、MySQL、PostgreSQL、SQLite、Firebird等等。 2、SQL Server 2000(2005)的安装步骤? 答:以企业版安装为例,步骤为: 将企业版安装光盘插入光驱后,出现以下提示框。请选择“安装 SQL Server 2000 组件” 出现下面对话框后,选择 "安装数据库服务器" 。 选择 "下一步",然后选择 "本地计算机" 进行安装。 在 "安装选择" 窗口,选择 "创建新的SQL Server实例..."。对于初次安装的用户,应选用这一安装模式,不需要使用 "高级选项" 进行安装。 "高级选项" 中的内容均可在安装完成后进行调整。 在 "用户信息" 窗口,输入用户信息,并接受软件许可证协议。 在“安装定义”窗口,选择“服务器和客户端工具”选项进行安装。 在“实例名”窗口,选择“默认”的实例名称。 在“安装类型”窗口,选择“典型”安装选项,并指定“目的文件夹”。 在 "服务账号" 窗口,请选择 "对每个服务使用统一账户..." 的选项。 在 "身份验证模式" 窗口,选择 "混合模式..." 选项,并设置管理员"sa"账号的密码。 最后按“下一步”即可完成安装。 检测安装:如果安装成功,应该能成功启动SQL Server,并且能和SQL Server 客户端连接上。 可以通过服务管理器来进行启动。

高考生物实验设计题及答案

高考生物实验设计题及答案 1.(16分)某研究性学习小组在同学拟对“低温是否会使物质的跨膜运输速率降低”这一问题进行探究,他们选择下列材料用具设计了相关实验。假如你是该研究小组的成员,请作出你的假设、完善实验方案并回答问题:(1)你的假设是:。 (2)实验方案: 材料用具:大烧杯、带刻度的长颈漏斗、玻璃纸、清水、适宜浓度的蔗糖溶液、冰块 实验步骤: ①取两个相同的带刻度的长颈漏斗,在漏斗口外密封上一层玻璃纸,将漏斗分别倒扣在两个相同的大烧杯中,并分别 编号为A、B。 ② 。 ③对A、B两组装置进行不同处理:A组放在室温条件下(25℃),B组 。 ④两组装置同时开始实验,几分钟后观察记录 。 (3)根据你的假设和设计的实验方案,预期的实验现象是 。 2.科学家通过研究发现:脱落后能抑制碗豆核酸、蛋白质的合成,促进叶片衰老。而细胞分裂素则抑制叶绿素、核酸和蛋白质 的降解,抑制叶片衰老。所以在生产中可利用细胞分裂素作保鲜剂。请你设计一个实验证明细胞分裂素有延缓叶片衰老的作用。 ①实验原理:叶片衰老最明显的特点是叶绿素逐渐丧失而失去绿色,离体叶片很快就会出现衰老的特点,因此,可通 过用细胞分裂来处理离体叶片,记录叶片失绿变黄所需的时间来证明。 ②实验步骤: 第一步:选取同种植物的相同叶片随机分成两组,分别标记为甲组、乙组。 第二步:在甲组叶片涂上一定浓度的细胞分裂素,乙组叶片。 第三步:记录甲、乙叶片失绿变黄所需的时间。 ③实验结果预测: 。 ④实验结论:。 3.(17分)大部分普通果蝇身体呈褐色(YY),具体纯合隐性基因的个体yy呈黄色.但是,即使是纯合的YY品系,如果用含有银盐的饲料饲养,长成的成体也为黄色.这种现象称为“表型模写”,是由环境造成的类似于某种基因型所产生的表现型。 (1)对果蝇基因组进行研究,应测序哪几条染色体______________________. (2)用15N对果蝇精原细胞的一个染色体上的DNA分子进行标记,在正常情况下,n个这样的精原细胞减数分裂形成的精子中,含15N的精子数为______________. (3)已知果蝇白眼为伴X隐性遗传,显性性状为红眼(A).现有一对亲本杂交,其子代中雄性全部为白眼,雌性全部为红眼,则这对亲本的基因型是____________. (4)从变异的类型看,“表型模写”属于________________,理由是_______________. (5)现有一只黄色果蝇,你如何判断它是否属于“表型模写”? ①请写出方法步骤: 第一步:。 第二步:。 第三步:。 ②结果预测及结论: 。 4.请将下列实验步骤及结果补充完整。 实验目的:验证有机磷杀虫剂对乙酰胆碱酯酶的活性具有抑制作用。 材料用具:两个相同的甲图装置、适宜的放电装置、有机磷杀虫剂、任氏液(青蛙专用生理盐水)、培养皿等。 实验步骤: 第一步:将两个装置编号1、2,并分别将装置中青蛙的“神经一腓肠肌”标本放入盛有等量任氏液的两个培养皿中。 第二步:同时用电极分别刺激两个“神经—腓肠肌”标本的神经,指针都向左偏转,然后恢复。 第三步: 第四步: 实验结果:

人教版化学必修一《1-1-1化学实验基本方法》课后作业及答案

课后作业 限时:45分钟满分:100分 一、选择题(每小题3分,共42分。) 1.下列有关说法不正确的是() A.化学是一门以实验为基础的自然科学 B.化学研究主要用的方法是实验方法 C.化学品标志表示的是三级放射性物品 ! D.不能用手接触药品,不要把鼻孔凑到容器口去闻药品的气味,不得尝任何药品的味道 2.下列实验能达到实验目的且符合安全要求的是() 3.有下列实验操作或事故处理方法:①用50 mL量筒量取5 mL蒸馏水;②称量没有腐蚀性固体药品时,把药品放在托盘上称量;③氢氧化钠浓溶液不慎溅入眼中,应立即用大量水冲洗,并且边洗边眨眼睛;④倾倒液体时,试剂瓶的瓶口不对准容器口;⑤块状药品都要用药匙取用;⑥固体药品用细口瓶保存;⑦用右图所示的方法闻气体的气味。其中错误的是()

A.①②③⑦B.③④ C.②⑤⑥⑦ D.①②④⑤⑥ 4.实验中的下列操作正确的是() . A.用试管取出试剂瓶中的Na2CO3溶液,发现取量过多,又把过量的试剂倒入试剂瓶中B.Ba(NO3)2溶于水,可将含有Ba(NO3)2的废液倒入水槽中,再用水冲入下水道 C.在100 mL的量筒里稀释浓硫酸溶液50 mL D.用浓硫酸配制一定浓度的稀硫酸时,浓硫酸溶于水后,应冷却至室温才能转移到容量瓶中5.下列实验仪器,能够受热或者在加热过程中可以用到的是() ①试管②量筒③烧杯④酒精灯⑤胶头滴管⑥蒸发皿 A.①②④⑥ B.①③④⑥ C.②③⑤ D.①②③⑤ " 6.下图分别表示四种操作,其中有两处错误的选项是() 7.下列实验操作中,完全正确的一组是() ①用试管夹夹持试管时,试管夹从试管底部往上套,夹在试管的中上部

实验六:广域网协议配置(2012最新版)

大连理工大学本科实验报告 课程名称:网络综合实验学院(系):软件学院 2012年3月22日

大连理工大学实验报告 学院(系):专业:班级: 姓名:学号:组:___ 实验时间:实验室:实验台: 指导教师签字:成绩: 实验六:广域网协议配置 一、实验目的 两台路由器之间的PPP和Frame Relay协议配置 二、实验原理和内容 1、路由器的基本工作原理 2、配置路由器的方法和命令 3、PPP的基本原理及配置 4、Frame Relay协议的基本原理及配置 三、实验环境以及设备 2台路由器、2台Pc机、双绞线若干 四、实验步骤(操作方法及思考题) {警告:路由器高速同异步串口(即S口)连接电缆时,无论插拔操作,必须在路由器电源关闭情况下进行;严禁在路由器开机状态下插拔同/异步串口电缆,否则容易引起设备及端口的损坏。} 1、请在用户视图下使用“reset saved-configuration”命令和“reboot”命令分别 将两台路由器的配置清空,以免以前实验留下的配置对本实验产生影响。2、在确保路由器电源关闭情况下,按照下图联线组建实验环境。配置IP地址, 以及配置PC 202.0.0.2 的缺省网关为202.0.0.1,PC 202.0.1.2 的缺省网关为202.0.1.1。

202.0.0.2/24202.0.1.2/24 AR18-12 AR28-11 3、在两台路由器上都启动 RIP ,目标是使两台PC 机之间能够ping 通。请将为达到此目标而在两台路由器上执行的启动RIP 的命令写到实验报告中。你们的两台PC 机之间ping 通了吗?在缺省情况下,两台路由器的串口之间使用的是哪种广域网协议?(15分) 答: AR18-12: [RA]rip [RA-rip]network all AR28-11: [RB]rip [RB-rip]network 0.0.0.0 缺省情况下,两台路由器之间使用PPP 协议。ping 通截图如下: 4、PPP 协议PAP 验证配置: (1) 配置AR18-12为验证方,AR28-11为被验证方,然后测试两台PC 机 之间是否能够ping 通。请将在两台路由器上执行的配置命令写到实验报告中。(15分) 答:

相关文档
相关文档 最新文档