文档库 最新最全的文档下载
当前位置:文档库 › 哈工大 数字逻辑电路与系统实验报告

哈工大 数字逻辑电路与系统实验报告

哈工大 数字逻辑电路与系统实验报告
哈工大 数字逻辑电路与系统实验报告

Harbin Institute of Technology

数字逻辑电路与系统

课程名称:数字逻辑电路与系统院系:电子与信息工程学院班级:

姓名:

学号:

教师:吴芝路

哈尔滨工业大学

2014年12月

实验二时序逻辑电路的设计与仿真

3.2 同步计数器实验

3.2.1 实验目的

1. 练习使用计数器设计简单的时序电路

2. 熟悉用MAXPLUS II 仿真时序电路的方法

3.2.2 实验预习要求

1. 预习教材《6-3 计数器》

2. 了解本次实验的目的、电路设计要求

3.2.3 实验原理

计数器是最基本、最常用的时序逻辑电路之一,有很多品种。按计数后的输

出数码来分,有二进制及BCD 码等区别;按计数操作是否有公共外时钟控制来分,可分为异步及同步两类;此外,还有计数器的初始状态可否预置,计数长度(模)可否改变,以及可否双向等区别。

本实验用集成同步4 位二进制加法计数器74LS161 设计N 分频电路,使输

出信号CPO 的频率为输入时钟信号CP 频率的1/N,其中N=(学号后两位mod 8)+8。下表为74LS161 的功能表。

3.2.4 实验步骤

1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP3_

2.gdf。

2. 按照实验要求设计电路,将电路原理图填入下表。

3. 新建一个波形仿真文件,命名为EXP3_2.scf,加入时钟输入信号CP 及输出信号CPO,并点击MAXPLUS II 左侧工具条上的时钟按钮,将CP 的波形设置

为周期性方波。

4. 运行仿真器得到输出信号CPO 的波形,将完整的仿真波形图(包括全部输入输出信号)附于下表。

3.3 时序电路分析实验

3.3.1 实验目的

练习用MAXPLUS II 进行时序逻辑电路的分析。

3.3.2 实验预习要求

1. 预习教材《6-3-1 异步二进制计数器》

2. 了解本次实验的目的、电路分析要求

3.3.3 实验原理

分析如下时序电路的功能,并判断给出的波形图是否正确。

3.3.4 实验步骤

1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP3_3.gdf。

2. 将给出的电路图输入MAXPLUS II 的原理图中,其中JK 触发器在MAXPLUS II 中的符号位JKFF。

3. 新建一个波形仿真文件,命名为EXP3_3.scf,加入所有输入输出信号,并按

照给出的波形图绘制输入信号CP、R D的波形。

4. 运行仿真器得到输出信号Q0 Q1 Q2 的波形,将完整的仿真波形图(包括全部输入输出信号)附于下表。

5. 对比实验原理中给出的波形与MAXPLUS II 仿真得出的波形,指出两者的异同,并分析原因。

答:相同点:在起初的时候,两者的波形相同,均是按照时钟信号进行计数。不同点:当Rd存在负脉冲后,两者开始出现差别。因为Rd的负脉冲使得第二个触发器的时钟信号有上升沿,Q1输出加1,而在实验给的波形图中没有考虑到这个上升沿,使得仿真波形与实验所给波形不同。

3.4 移位寄存器实验

3.4.1 实验目的

练习使用移位寄存器设计简单的时序电路。

3.4.2 实验预习要求

1. 预习教材《6-4 移位寄存器》

2. 了解本次实验的目的、电路设计要求

3.4.3 实验原理

数据的移位寄存是一种常见的算数和逻辑动作,例如在进行算数的乘法或除

法过程中,就需要将部分积进行先移位,再相加或相减的操作。移位寄存器从结构上看,是将若干个触发器级联起来,按数据输入方式来分,有串行和并行两种,而移位方向则有左移和右移之区别,同时数据输出也有并出和串出之分。

请用4 位双向通用移存器74LS194 设计一个序列检测器,功能为:在时钟CP 的驱动下,数据输入端D 每个时钟周期输入1 bit 二进制数,形成一个二进制

序列,当序列中出现’1011’时,输出端F 输出一个时钟周期的高电平,否则为低

电平。

3.4.4 实验步骤

1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP3_4.gdf。

2. 按照实验要求设计电路,将电路原理图填入下表。

3. 新建一个波形仿真文件,命名为EXP3_

4.scf,加入所有输入输出信号,并绘制输入信号CP、D 的波形。

4. 运行仿真器得到输出信号F 的波形,将完整的仿真波形图(包括全部输入输出信号)附于下表。

3.5 三人抢答器实验

3.5.1 实验目的

练习使用触发器设计简单的时序电路。

3.5.2 实验预习要求

1. 预习教材《第五章触发器》

2. 了解本次实验的目的、电路设计要求

3.5.3 实验原理

设计一个如下图所示的抢答器。

其中A、B、C 为三个抢答按键,ST 为起始信号,X、Y、Z 分别为A、B、

C 对应的输出。在ST 的一个负脉冲后,ABC 中最先按下(由’0’变为’1’)的按

键对应的输出端变为有效(由’0’变为’1’),其它输出端不变。

3.5.4 实验步骤

1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP3_5.gdf。

2. 按照实验要求设计电路,将电路原理图填入下表。

3. 新建一个波形仿真文件,命名为EXP3_5.scf,加入所有输入输出信号,并绘制输入信号ST、A、B、C 的波形。

4. 运行仿真器得到输出信号X、Y、Z 的波形,将完整的仿真波形图(包括全部输入输出信号)附于下表。

3.7 心得体会

在这次的数电实验中,我发现将课本上的理论电路转换为实际的仿真电路时需要参考实际的芯片参数。对于MAX-plus这个软件,在连线的时候特别不方便,容易连上不需要的管脚导致仿真失败。

哈工大单片机实验报告(上传)

H a r b i n I n s t i t u t e o f T e c h n o l o g y 单片机原理与应用 实验报告 学生姓名: 学号: 班级: 专业: 任课教师: 所在单位: 2013年5月

软件实验 在软件实验部分,通过实验程序的调试,使学生熟悉MCS-51的指令系统,了解程序设计过程,掌握汇编语言设计方法以及如何使用实验系统提供的调试手段来排除程序错误。 实验一清零程序 一、实验目的 掌握汇编语言设计和调试方法,熟悉键盘操作。 二、实验内容 把2000~20FFh的内容清零。 三、程序框图 四、实验过程 实验中利用MOVX语句,将外部存储器指定内容清零。利用数据指针DPTR完成数据传送工作。程序采用用循环结构完成,R0移动单元的个数,可用CJNE比较语句判断循环是否结束。 五、实验结果及分析 清零前清零后

【问题回答】清零前2000H~20FFH中为内存里的随机数,清零后全变为0。 六、实验源程序 AJMP MAIN ORG 0640H MAIN: MOV R0, #00H MOV DPL, #00H MOV DPH, #20H LOOP: MOV A, #00H MOVX @DPTR, A INC DPTR INC R0 CJNE R0, #0FFH, LOOP MOVX @DPTR, A END 实验二拆字程序 一、实验目的 掌握汇编语言设计和调试方法。 二、实验内容 把2000h的内容拆开,高位送2001h低位,低位送2002h低位,2001h、2002h高位清零,一般本程序用于把数据送显示缓冲区时用。 三、程序框图 四、实验过程 将寄存器中内容送入2000H,分别将高低四位移到低位,将高四位置零然后移入2001H 和2002H中。利用MOVX语句、DPTR指针可实现数据的传送,利用高低四位交换语句SWAP和与语句ANL可进行对高低位的清零。

数据库实验3答案

实验三:交互式SQL语句的使用 1、实验目的 (1)掌握数据库对象的操作过程,包括创建、修改、删除 (2)熟悉表的各种操作,包括插入、修改、删除、查询 (3)熟练掌握常用SQL语句的基本语法 2、实验平台 使用SQL Server提供的Microsoft SQL Server Management Studio工具,交互式使用SQL语句。 3 实验容及要求 选择如下一个应用背景之一: ●学生选课系统 ●习题3、4、和5中使用的数据库 ●其它你熟悉的应用 (1)建立一个数据库和相关的表、索引、视图等数据库对象,练习对表、索引和视图的各种操作。 (2)要求认真进行实验,记录各实验用例及执行结果。 (3)深入了解各个操作的功能。 实验要求包括如下方面的容: 3.1 数据定义 1.基本表的创建、修改及删除 2.索引的创建 3.视图的创建 3.2 数据操作 完成各类更新操作包括: 1.插入数据

2.修改数据 3. 删除数据 3.3 数据查询操作 完成各类查询操作 1.单表查询 2.分组统计 3. 连接查询 4. 嵌套查询 5. 集合查询 3.4 数据操作 1.创建视图 2.视图查询 参考示例: 建立一个学生选课数据库,练习对表、视图和索引等数据库对象的各种操作。 一、数据定义 创建学生选课数据库ST,包括三个基本表,其中Student表保存学生基本信息,Course表保存课程信息,SC表保存学生选课信息,其结构如下表: 表1. Student表结构 表2. Course表结构

表3. SC表结构 1.创建、修改及删除基本表 (1)创建Student表 CREATE TABLE Student (Sno CHAR(8)PRIMARY KEY, Sname CHAR(8), Ssex CHAR(2)NOT NULL, Sage INT, Sdept CHAR(20) ); (2)创建Course表 CREATE TABLE Course (Cno CHAR(4)PRIMARY KEY, Cname CHAR(40)NOT NULL, Cpno CHAR(4), Ccredit SMALLINT, ); (3)创建SC表 CREATE TABLE SC (Sno CHAR(8)FOREIGN KEY (Sno)REFERENCES Student(Sno), Cno CHAR(4), Grade SMALLINT, ); (4)创建员工表Employee

哈工大电子技术实验四人无弃权表决电路(高分版)

姓名XXX 班级1108301 学号xx 实验日期节次 9-11 教师签字成绩 四人无弃权表决电路 1.实验目的 1)掌握74LS20的逻辑功能和使用方法; 2)通过实验,进一步熟悉组合逻辑电路的分析与设计方法。 2.总体设计方案或技术路线 设计一个四人无弃权表决电路(多数赞成则提议通过,即三人以上包括三人),用74LS20来实现。 1)根据任务的要求,设计电路; 2)用代数化简法求出最简的逻辑表达式; 3)根据表达式,画出逻辑电路图,用标准器件(与、或、非)构成电路; 4)最后,用实验来验证设计的正确性。 3.实验电路图 1)ABCD输入端,接数据开关;Z输出端接电平指示器; 2)改变ABCD的组态,记录Z的变化,验证逻辑函数的功能及设计的正确性。 4. 仪器设备名称、型号

1)实验箱 1台2)双踪示波器 1台3)双路直流稳压电源 1台4)数字万用表 1只5)74LS20 3片5.理论分析或仿真分析结果 74LS20管脚图: 逻辑关系式: C AB D Z=ABC+BCD+ACD+ABD=AB BCDACD 逻辑图:

6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)真值表: A B C D F 00000 00010 00100 00110

7.实验结论 由真值表可知,四人无弃权表决电路设计成功,实现了预期功能。

8.实验中出现的问题及解决对策 实验过程中由于有五个与门,而每个74LS20可实现两个与门,故线路连起来相当复杂,容易混淆,故在连接电路时安排好位置,标记好引脚和接头。 9.本次实验的收获和体会、对电路实验室的意见或建议 此次设计是对经典四人表决电路的一次创新,利用书本上的知识和以前类似实验的设计思路进行了此次实验,锻炼了实践能力,熟悉了组合逻辑电路的设计方法。 这次的实验绝对原创的,是对以前做过的实验的一次创新,复杂了不少,锻炼了能力。 10.参考文献 [1]电工学实验教程/王宇红主编.——北京:机械工业出版社,(重印)

哈工大_控制系统实践_磁悬浮实验报告

研究生自动控制专业实验 地点:A区主楼518房间 姓名:实验日期:年月日斑号:学号:机组编号: 同组人:成绩:教师签字:磁悬浮小球系统 实验报告 主编:钱玉恒,杨亚非 哈工大航天学院控制科学实验室

磁悬浮小球控制系统实验报告 一、实验内容 1、熟悉磁悬浮球控制系统的结构和原理; 2、了解磁悬浮物理模型建模与控制器设计; 3、掌握根轨迹控制实验设计与仿真; 4、掌握频率响应控制实验与仿真; 5、掌握PID控制器设计实验与仿真; 6、实验PID控制器的实物系统调试; 二、实验设备 1、磁悬浮球控制系统一套 磁悬浮球控制系统包括磁悬浮小球控制器、磁悬浮小球实验装置等组成。在控制器的前部设有操作面板,操作面板上有起动/停止开关,控制器的后部有电源开关。 磁悬浮球控制系统计算机部分 磁悬浮球控制系统计算机部分主要有计算机、1711控制卡等; 三、实验步骤 1、系统实验的线路连接 磁悬浮小球控制器与计算机、磁悬浮小球实验装置全部采用标准线连接,电源部分有标准电源线,考虑实验设备的使用便利,在试验前,实验装置的线路已经连接完毕。 2、启动实验装置 通电之前,请详细检察电源等连线是否正确,确认无误后,可接通控制器电源,随后起动计算机和控制器,在编程和仿真情况下,不要启动控制器。 系统实验的参数调试

根据仿真的数据及控制规则进行参数调试(根轨迹、频率、PID 等),直到获得较理想参数为止。 四、实验要求 1、学生上机前要求 学生在实际上机调试之前,必须用自己的计算机,对系统的仿真全部做完,并且经过老师的检查许可后,才能申请上机调试。 学生必须交实验报告后才能上机调试。 2、学生上机要求 上机的同学要按照要求进行实验,不得有违反操作规程的现象,严格遵守实验室的有关规定。 五、系统建模思考题 1、系统模型线性化处理是否合理,写出推理过程? 合理,推理过程: 由级数理论,将非线性函数展开为泰勒级数。由此证明,在平衡点)x ,(i 00对 系统进行线性化处理是可行的。 对式2x i K x i F )(),(=作泰勒级数展开,省略高阶项可得: )x -)(x x ,(i F )i -)(i x ,(i F )x ,F(i x)F(i,000x 000i 00++= )x -(x K )i -(i K )x ,F(i x)F(i,0x 0i 00++= 平衡点小球电磁力和重力平衡,有 (,)+=F i x mg 0 |,δδ===00 i 00 i i x x F(i,x) F(i ,x )i ;|,δδ===00x 00i i x x F(i,x)F (i ,x )x 对2 i F(i,x )K()x =求偏导数得:

哈工大电路自主设计实验二端口网络参数的测定

二端口网络参数的测定 一、实验目的 1.加深理解双口网络的基本理论。 2.学习双口网络Y 参数、Z 参数及传输参数的测试方法。 3.验证二端口网络级联后的传输参数与原二端口网络传输参数的关系。 二、原理说明 1.如图2-12-1所示的无源线性双口网络,其两端口的电压、电流四个变量之间关系,可用多种形式的参数方程来描述。 图2-12-1 (1)若用Y 参数方程来描述,则为 ()()()(),即输入端口短路时令,即输入端口短路时令,即输出端口短路时令,即输出端口短路时令其中0I 0I 0I 0I 12 2 2212 1 1221 2 2121 1 1122212122121111== ======+=+=U U Y U U Y U U Y U U Y U Y U Y I U Y U Y I 由上可知,只要在双口网络的输入端口加上电压,令输出端口短路,根据上面的前两个公式即可求得输入端口处的输入导纳Y 11和输出端口与输入端口之间的转移导纳Y 21。 同理,只要在双口网络的输出端口加上电压,令输入端口短路,根据上面的后两个公式即可求得输出端口处的输入导纳Y 22和输入端口与输出端口之间的转移导纳Y 12。 (2)若用Z 参数方程来描述,则为

()()()(),即输入端口开路时令,即输入端口开路时令,即输出端口开路时令,即输出端口开路时 令其中 0U Z 0U Z 0U Z 0U 12 2 2212 1 1221 2 212111122212122121111== ======+=+=I I I I I I I I Z I Z I Z U I Z I Z U 由上可知,只要在双口网络的输入端口加上电流源,令输出端口开路,根据上面的前两个公式即可求得输出端口开路时输入端口处的输入阻抗Z 11和输出端口与输入端口之间的开路转移阻抗Z 21。 同理,只要在双口网络的输出端口加上电流源,令输入端口开路,根据上面的后两个公式即可求得输入端口开路时输出端口处的输入阻抗Z 22和输入端口与输出端口之间的开路转移阻抗Z 12。 (3)若用传输参数(A 、T )方程来描述,则为 ()()()(),即输出端口短路时令,即输出端口开路时令,即输出端口短路时令,即输出端口开路时令其中0I D 0I C 0U B 0U A 221s 220 10 221s 220 10 221221=-= ===-===-=-=U I I U U I I U DI CU I BI AU U s s 由上可知,只要在双口网络的输入端口加上电压,令输出端口开路或短路,在两个端口同时测量电压和电流,即可求出传输参数A 、B 、C 、D ,这种方法称为同时测量法。 2.测量一条远距离传输线构成的双口网络,采用同时测量法就很不方便,这时可采用分别测量法,即先在输入端口加电压,而将输出端口开路或短路,在输入端口测量其电压和电流,由传输方程得 () () ,即输出端口短路时令,即输出端口开路时令00111101010======2s s s 2U D B I U R I C A I U R 然后在输出端口加电压,而将输入端口开路或短路,在输出端口测量其电压和电流,由

哈工大计算机网络实验报告之五

计算机网络课程实验报告 实验5:利用Ethereal分析TCP、UDP、ICMP协议 继续学习Ethereal的使用; 利用Ethereal分析TCP、UDP和ICMP协议。 TCP协议采用了哪些机制保证可靠数据传输。(3分) 数据重传和数据确认应答机制 Traceroute的工作过程,用自己的话来描述,200字以内,超过酌情扣分。 (4分) 构造数据包,来检查到达一个主机时经过了哪些路由。主机发送给目的地址的数据包的TTL是从1逐个递增的,而数据包每到达一个路由器,它的TTL值就会减1,当TTL减到0时,该数据包被取消,传回一个数据包给主机,我们就能捕获这个路由器的IP地址了。如果收到"超时错",表示刚刚到达的是路由器,而如果收到的是"端口不可达" 错误,表示刚刚到达的就是目的主机,路由跟踪完成,程序结束。 阐述一下为什么应用程序开发者会选择将应用程序运行在UDP而不是TCP 之上?(3分) UDP没有拥塞控制机制,发送方可以以任何速率向下层注入数据。很多实时应用是

可以容忍一定的数据丢失的,同时又对速率有很高要求(比如在线视频播放),这时开发者会倾向选择UDP协议,避免使用TCP协议的拥塞控制机制产生的分组开销。 实验过程: 使用Ethereal分析TCP协议: (15分)得分:抓取本机与https://www.wendangku.net/doc/9917463013.html,/ethereal-labs/alice.txt通信过程中的网络数据包。根据操作思考以下问题: 客户服务器之间用于初始化TCP连接的TCP SYN报文段的序号(sequence number)是多少?在该报文段中,是用什么来标示该报文段是SYN报文段的? Seq=0 Flags中的syn位为1,ack位为0,说明是syn报文段 服务器向客户端发送的SYNACK报文段序号是多少?该报文段中,Acknowledgement字段的值是多少?https://www.wendangku.net/doc/9917463013.html,服务器是如何决定此值 的?在该报文段中,是用什么来标示该报文段是SYNACK报文段的? Seq=0 Ack=1,服务器根据客户端发送的SYN报文的Seq值加一后得到此值 Flags中的Ack和Syn位都为1,所以是SYNACK报文

数据库实验三

实验三订购数据库 (一)数据定义 一、基本表操作 1.建立基本表 1)创建仓库表,由以下属性组成:仓库号(CHAR 型),城市(CHAR 型),面积(INT 型)。其中仓库号为主码,并且有面积>0 的限定条件 2)创建职工表,由以下属性组成:仓库号(CHAR型),职工号(CHAR型),工资(INT型)。其中职工号为主码,仓库号为外码,工资的限定条件为1000<=工资<=5000。 3)创建供应商表,由以下属性组成:供应商号(CHAR型),供应商名(CHAR型),地址(CHAR 型)。其中供应商号为主码。 4)创建订购单表,由以下属性组成:职工号(CHAR型),供应商号(CHAR型),订购单号(CHAR 型),订购日期(CHAR型)。其中订购单号为主码,职工号和供应商号为外码。 2.修改基本表 1)往订购单表中增加一个新的属性“完成日期”,DATETIME型,并且允许为空值。 2)将职工表中的工资属性类型改为SMALLINT 型。 3)删除刚才在订购单表中加入的“完成日期”属性。 二、索引操作 1.建立索引 1)在订购单表上建立关于供应商号的普通索引supidx。 2)在订购单表的多个字段建立索引sup_empidx,先按照供应商号升序索引,然后按照职工号升序索引。 2.删除索引 1)删除订购单表上的索引supidx。 2)删除订购单表上的索引sup_empidx。 (二)数据操作 一、插入数据 1)向仓库表插入下列数据:WH1,北京,370 WH2,上海,500 WH3,广州,200 WH4,武汉,400 2)向职工表插入下列数据:WH2,E1,1220 WH4,E2,1270 WH1,E3,1210 WH2,E4,1250 WH3,E5,1200 WH3,E6,1230 WH1,E7,1250 3)向供应商表插入下列数据: S1,利民电子元件厂,上海 S2,联华电子公司,武汉 S3,振华电子厂,西安

哈工大电路自主设计实验

姓名 班级 学号 实验日期 节次 教师签字 成绩 影响RLC 带阻滤波器性能参数的因素的研究与验证 1.实验目的 (1)学习带阻滤波器的设计方法 (2)测量RLC 带阻滤波器幅频特性曲线 (3)研究电阻、电容和品质因素Q 对滤波器性能的影响 (4)加深对滤波器滤波概念的理解 2.总体设计方案或技术路线 (1)理论推导,了解滤波器的主要性能参数及与滤波器性能有关的因素 (2)设计RLC 带阻滤波器电路图 (3)研究电阻R 对于滤波器参数的影响 (4)研究电容C 对于滤波器参数的影响 (5)研究电感L 对于滤波器参数的影响 (6)合理设计实验测量,结合电容C 和电感L 对滤波器参数的影响 (7)将实际测量结果与理论推导作对比,并分析实验结果 3.实验电路图 R1V- V+

4.仪器设备名称、型号 函数信号发生器 1台 FLUKE190-104数字便携式示波表 1台 十进制电阻箱 1只 十进制电容箱 1只 十进制电感箱 1只 5.理论分析或仿真分析结果 带阻滤波器是指能通过大多数频率分量、但将某些范围的频率分量衰减到极低水平的滤波器,与带通滤波器的概念相对。 理想带阻滤波器在阻带内的增益为零。带阻滤波器的中心频率f o,品质因素Q和抑制带宽BW之间的关系为 仿真结果: R=2000Ω C=0.01uf L=0.2H

R=500Ω C=0.01uf L=0.2H

R=2000Ω C=0.05uf L=0.2H

R=2000Ω C=0.01uf L=0.1H R=2000Ω C=0.01uf L=0.5H

改变R时对比图 改变C时对比图 改变L时对比图 6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录) (1)电阻R对于滤波器参数的影响 任务1:电路如图所示,其中信号源输出Us=5V,电容C=0.01uF,电感L=0.2H,根据下表所示,选择不同电阻值测量输出幅频特性

北邮大数据库实验三

实验三完整性及视图、索引 视图是基于某个查询结果的一个虚拟表,只是用来查看数据的窗口而已。索引能够提供一种以一列或多列的值为基础迅速查找数据表(或视图)中行的能力,用来快速访问数据表(或视图)中的数据。触发器是一种特殊的存储过程,它在特定语言事件发生时自动执行,通常用于实现强制业务规则和数据完整性。 【实验目的】 掌握MySQL视图、索引的使用,理解什么是数据库的完整性。 【实验要求】 1、每完成一个任务,截取全屏幕快照1~3作为中间步骤和结果的贴图,粘贴在最后的实验报告中。 2、除了使用我们提供的数据外还要自己向表中添加些新数据,以保证每个查询结果不为空集,或计数结果不为0。 3、思考题可以选做,作为优秀加分的依据。 【实验任务】 1、创建一个视图,该视图为每门课程的平均成绩,视图包括的列有课程号 及平均成绩,并用利用该视图查询所有课程的平均成绩,要求给出课程号、课程名及平均成绩。

2、创建一个视图,该视图为每门课程的平均成绩,视图包括的列有课程号、 课程名及平均成绩,并用利用该视图查询所有课程的平均成绩,要求给出课程号、课程名及平均成绩。

3、为院系代码表(dept_code)创建基于“院系代码”列的索引。 4、为教室信息表(classroom_info)创建基于room_id列的惟一索引并插入一 条room_id列与表中已有的值重复的数据,观察系统的反馈。

5、重新修改表stud_info、lesson_info及stud_grade,修改的容为: ①为三表增加主码约束,stud_info的主码为stud_id,lesson_info的主码为 course_id,stud_grade的主码为stud_id、course_id。

哈工大电子技术实验四人无弃权表决电路(高分版)

姓名XXX 班级1108301 学号11108301xx 实验日期 6.5 节次9-11 教师签字成绩 四人无弃权表决电路 1.实验目的 1)掌握74LS20的逻辑功能和使用方法; 2)通过实验,进一步熟悉组合逻辑电路的分析与设计方法。 2.总体设计方案或技术路线 设计一个四人无弃权表决电路(多数赞成则提议通过,即三人以上包括三人),用74LS20来实现。 1)根据任务的要求,设计电路; 2)用代数化简法求出最简的逻辑表达式; 3)根据表达式,画出逻辑电路图,用标准器件(与、或、非)构成电路; 4)最后,用实验来验证设计的正确性。 3.实验电路图 1)ABCD输入端,接数据开关;Z输出端接电平指示器; 2)改变ABCD的组态,记录Z的变化,验证逻辑函数的功能及设计的正确性。 4. 仪器设备名称、型号 1)实验箱 1台 2)双踪示波器 1台 3)双路直流稳压电源 1台 4)数字万用表 1只 5)74LS20 3片

5.理论分析或仿真分析结果 74LS20管脚图: 逻辑关系式: C AB D Z=ABC+BCD+ACD+ABD=AB BCDACD 逻辑图:

6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)真值表:

7.实验结论 由真值表可知,四人无弃权表决电路设计成功,实现了预期功能。 8.实验中出现的问题及解决对策 实验过程中由于有五个与门,而每个74LS20可实现两个与门,故线路连起来相当复杂,容易混淆,故在连接电路时安排好位置,标记好引脚和接头。 9.本次实验的收获和体会、对电路实验室的意见或建议 此次设计是对经典四人表决电路的一次创新,利用书本上的知识和以前类似实验的设计思路进行了此次实验,锻炼了实践能力,熟悉了组合逻辑电路的设计方法。 这次的实验绝对原创的,是对以前做过的实验的一次创新,复杂了不少,锻炼了能力。 10.参考文献 [1]电工学实验教程/王宇红主编.——北京:机械工业出版社,2009.8(2012.1重印)

哈工大天线实验报告

Harbin Institute of Technology 天线原理实验报告 课程名称:天线原理 班级: 姓名: 学号: 同组人: 指导教师: 实验时间: 实验成绩: 注:本报告仅供参考 哈尔滨工业大学

一、实验目的 1. 掌握喇叭天线的原理。 2. 掌握天线方向图等电参数的意义。 3. 掌握天线测试方法。 二、实验原理 1. 天线电参数 (1).发射天线电参数 a.方向图:天线的辐射电磁场在固定距离上随空间角坐标分布的图形。 b.方向性系数:在相同辐射功率,相同距离情况下,天线在该方向上的辐射功率密度Smax与无方向性天线在该方向上的辐射功率密度S0之比值。 c.有效长度:在保持该天线最大辐射场强不变的条件下,假设天线上的电流均匀分布时的等效长度。 d.天线效率:表征天线将高频电流或导波能量转换为无线电波能量的有效程度。 e.天线增益:在相同输入功率、相同距离条件下,天线在最大辐射方向上的功率密度Smax与无方向性天线在该方向上的功率密度S0之比值。 f.输入阻抗:天线输入端呈现的阻抗值。 g.极化:天线的极化是指该天线在给定空间方向上远区无线电波的极化。 h.频带宽度:天线电参数保持在规定的技术要求范围内的工作频率范围。 (2).接收天线电参数:除了上述参数以外,接收天线还有一些特有的电参数:等效面积和等效噪声温度。 a.等效面积:天线的极化与来波极化匹配,且负载与天线阻抗共轭匹配的最佳状态下,天线在该方向上所接收的功率与入射电波功率密度之比。 b.等效噪声温度:描述天线向接收机输送噪声功率的参数。 2. 喇叭天线 由逐渐张开的波导构成,是一种应用广泛的微波天线。按口径形状可分为矩形喇叭天线与圆形喇叭天线等。波导终端开口原则上可构成波导辐射器,由于口径尺寸小,产生的波束过宽;另外,波导终端尺寸的突变除产生高次模外,反射较大,与波导匹配不良。为改善这种情况,可使波导尺寸加大,以便减少反射,又可在较大口径上使波束变窄。 (1).H面扇形喇叭:若保持矩形波导窄边尺寸不变,逐渐张开宽边可得H面扇

数据库实验三

注意:在粘贴截图时请保留窗口完整标题,但只需保留关键界面,多余的空白界面请删除。 一、实验课时:4 二、实验目的 (1) 掌握使用T-SQL语句创建、删除数据库的方法。 (2) 掌握使用T-SQL语句创建、修改、删除表的方法。 (3) 掌握使用T-SQL语句创建、删除数据库完整性约束条件的方法。 (4) 掌握使用T-SQL语句对表添加、修改、删除数据的方法。 (5) 掌握使用T-SQL语句创建、修改、删除、查询视图的方法。 三、实验要求 (1) 使用SQL Server 2008查询分析器。 (2) 严格依照操作步骤进行。 (3) 在本地服务器中创建和管理数据库。 四、实验环境 (1) PC机。 (2) SQL Server 2008。 五、实验内容及步骤(请特别注意实验步骤:第6项的第1小项,即“插入数据”操作必须在第4项以前执行) ??? 1.使用Transact-SQL语句创建JOBS数据库,数据库名格式为 JOBS_SunYu(即JOBS_你的中文名字拼音) CREATE Database JOBS_DengZhiPeng;

2.使用Transact-SQL语句创建JOBS数据库包含的所有表 EMPLOYEE CREATE TABLE EMPLOYEE( EMPNO SMALLINT NOT NULL, SUPNAME VARCHAR(50)NOT NULL, FORENAMES VARCHAR(50)NOT NULL, DOB DATE NOT NULL, ADDRESS VARCHAR(50)NOT NULL, TELNO CHAR(10)NOT NULL, DEPNO SMALLINT NOT NULL ); JOBHISTORY CREATE TABLE JOBHISTORY( EMPNO SMALLINT NOT NULL, POSITION VARCHAR(50)NOT NULL, STARDATE DATE NOT NULL, ENDDATE DATE NULL, SALARY INT NOT NULL ); COURSE CREATE TABLE COURSE( COURSENO SMALLINT NOT NULL, CNAME VARCHAR(50)NOT NULL, CDATE DATE NOT NULL ); DEPARTMENT CREATE TABLE DEPARTMENT( DEPNO SMALLINT NOT NULL, DNAME VARCHAR(50)NOT NULL, LOCATION VARCHAR(10)NOT NULL, HEAD SMALLINT NOT NULL ); EMPCOURSE CREATE TABLE EMPCOURSE( EMPNO SMALLINT NOT NULL,

哈工大数字电路实验报告实验一

数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学

实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:

2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。

制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输

2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:

(完整版)哈工大-数值分析上机实验报告

实验报告一 题目:非线性方程求解 摘要:非线性方程的解析解通常很难给出,因此线性方程的数值解法就尤为重要。本实验采用两种常见的求解方法二分法和Newton法及改进的Newton法。 前言:(目的和意义) 掌握二分法与Newton法的基本原理和应用。 数学原理: 对于一个非线性方程的数值解法很多。在此介绍两种最常见的方法:二分法和Newton法。 对于二分法,其数学实质就是说对于给定的待求解的方程f(x),其在[a,b]上连续,f(a)f(b)<0,且f(x)在[a,b]内仅有一个实根x*,取区间中点c,若,则c恰为其根,否则根据f(a)f(c)<0是否成立判断根在区间[a,c]和[c,b]中的哪一个,从而得出新区间,仍称为[a,b]。重复运行计算,直至满足精度为止。这就是二分法的计算思想。

Newton法通常预先要给出一个猜测初值x0,然后根据其迭代公式 产生逼近解x*的迭代数列{x k},这就是Newton法的思想。当x0接近x*时收敛很快,但是当x0选择不好时,可能会发散,因此初值的选取很重要。另外,若将该迭代公式改进为 其中r为要求的方程的根的重数,这就是改进的Newton法,当求解已知重数的方程的根时,在同种条件下其收敛速度要比Newton法快的多。 程序设计: 本实验采用Matlab的M文件编写。其中待求解的方程写成function的方式,如下 function y=f(x); y=-x*x-sin(x); 写成如上形式即可,下面给出主程序。 二分法源程序: clear %%%给定求解区间 b=1.5; a=0;

%%%误差 R=1; k=0;%迭代次数初值 while (R>5e-6) ; c=(a+b)/2; if f12(a)*f12(c)>0; a=c; else b=c; end R=b-a;%求出误差 k=k+1; end x=c%给出解 Newton法及改进的Newton法源程序:clear %%%% 输入函数 f=input('请输入需要求解函数>>','s') %%%求解f(x)的导数 df=diff(f);

哈工大威海计算机网络实验报告1资料

计算机网络与通信实验报告(一)学号姓名班级报告日期 2015.04.15 实验内容网络常用命令的使用 实验目的1.熟悉网络命令的使用,例如ping,tracert,netstat,ipconfig等,对结果进行分析判断。 2.熟悉dns的层次查询,以及smtp协议。 实验预备知识结合实验报告相关知识以及老师课堂演示、笔记。 实验过程描述1.按照实验报告步骤所指,一步步熟悉ping tracert ipconfig 等网络命令,并对结果进行相应分析、截图。 2.Dns层次查询时,首先网上搜索全球13 个根域名服务器的ip,选择其中一个ip 对学校主页https://www.wendangku.net/doc/9917463013.html, 进行层次分析,依次进行cn https://www.wendangku.net/doc/9917463013.html, https://www.wendangku.net/doc/9917463013.html, https://www.wendangku.net/doc/9917463013.html, 的域名分析,最终得到主页ip,然后使用ping命令ping得主页ip 相比较,结果一致,查询成功。 3.熟悉掌握SMTP协议。Dos 命令下依次输入telnet相关命令,并使用事先转换成base64 的用户名、密码登陆邮箱。登陆成功后给自己的邮箱发送信息,最后退出。操作、邮箱截图如下。 实验结果见表格下方截图。 实验当中问题及解决方法1、telnet命令刚开始dos无法识别,属于不认识的命令。上网查询资料后,在控制面板中设置后成功解决。 2、熟悉SMTP协议时,telnet 登陆邮箱并发送信件,期间出现好多错误,比如单词拼写错误,指令错误。重复多次后最终成功实现。 成绩(教师打分)优秀良好及格不及格

实验相关截图 一、网络命令的使用 1.ping 命令

2.tracert 命令

哈工大电工实验自主设计实验最终报告555组成定时器和计时器

姓名班级学号 实验日期节次教师签字成绩 定时器和计时器 1.实验目的 (1)用555定时器构成1s,10s和60s的定时器。 (2)用两个161芯片构成一个1分钟以内的计时器。 2.总体设计方案或技术路线 (1)通过调节RC的大小来调节555输出脉冲的周期,在低电平触发端2连高电平A,当按下按钮再松开时,就输入了高电平。输出端3连接指示灯。 (2)两个161芯片组成60进制计数器,将两个161芯片的输出连接数码显示管。输入连接到1赫兹的脉冲上。 3.实验电路图 定时1s

定时10s 定时60s

计时器电路4.仪器设备名称、型号和技术指标 555定时器一个 74LS161芯片两个 电阻:240kΩ一个 910kΩ一个 3MΩ一个 3.9MΩ一个 4.7MΩ两个 电容: 1μF一个 2.2μF两个 四引脚LED数码显示管两个 直流稳压电源 1Hz时钟脉冲输入源 实验箱 5.理论分析或仿真分析结果 理论分析:

(1)定时器电路:开关在未动作时是闭合的,连在高电平上,按下开关,开关断开, 接入低电平,然后迅速恢复到闭合状态,输入了一个脉冲,555定时器开始定时,根据555单稳态触发器输出脉冲的宽度公式RC t p 1.1=,通过调节电阻R 和电容C 的值使脉冲的周期为1s,10s 和60s. 当R=910k Ω,C=1μF 时,s t p 001.110101.91.165=???=- 当R=3.9M Ω+240k Ω=4.14M Ω,C=2.2μF 时,s t p 02.10102.21014.41.166=????=- 当R=4.7M Ω×2+3M Ω=12.4M Ω,C=4.4μF 时, s t p 016.60104.41024.11.167=????=- 6. 详细实验步骤及实验测量数据记录(包括各仪器、仪表量程及内阻的记录) 安装555芯片、74LS00和两个74LS161芯片,调节直流稳压电源输出5V 电压,接到实验箱上。 (1)将555芯片的8引脚和4引脚相连,再连接到+5V 电源上,将1引脚接地,将8引脚连接910k Ω电阻上,将电阻另一侧连接到6引脚,将6引脚连接到7引脚,将7引脚连接到1μF 电容上,再将电容另一侧接地。将2引脚接逻辑开关A ,将5引脚连接到0.01μF 电容上,再将电容另一侧接地。将引脚3连接到电平指示灯上。 开通直流稳压电源,按下逻辑开关A ,记录电平指灯点亮的时间,为1.0s 。 关闭直流电源。 将3.9M Ω和240k Ω的电阻串联,将连在910k Ω两端的导线连接到两个串联电阻上,将连接在1μF 电容两端的导线连接到2.2μF 电容两端,并将电容接地。 开通直流稳压电源,按下逻辑开关,记录时间9.7s 。 关闭直流电源。 将两个4.7M Ω和一个3M Ω电阻串联,用它代替3.9M Ω240k Ω串联电阻连入到电路中,将两个2.2μF 电容并联。 开通直流稳压电源,按下逻辑开关,记录时间为58.8s 。 关闭直流电源。 (2)将两个161芯片的16引脚连到+5V 电源上,将8引脚接地。将74LS00芯片的14引脚接到+5V 电源,7引脚接地。将第一个161芯片的2引脚接到1Hz 的时钟脉冲上,11、12、13、14引脚分别连到第二个数码显示管的D 、C 、B 、A 上,并11和13引脚连接到00芯片的1和2引脚,将00芯片的3引脚连接到第一个161的1引脚和第二个161的2引脚;将第二个161芯片的11、12、13、14引脚连接到第一个数码显示管的D 、C 、B 、A 上将12

数据库实验三报告

江西师范大学计算机信息工程学院学生实验报告专业___计算机科学与技术__ 姓名___燕悦__ 学号__1408091077_ 日期__ __

四.程序及运行结果(或实验数据记录及分析) 针对S_T数据库: 1)创建三个表:student course sc。程序: use S_T go /*创建Student表*/ create table student( Sno char(5) primary key not null check(Sno like '[0-9][0-9][0-9][0-9][0-9]'), Sname char(20) not null, Ssex char(2) not null check(Ssex in ('男','女')), Sage smallint not null check(Sage between 14 and 38), Sdept char(20) not null ); /*创建Course表*/ create table course( Cno char(4) not null primary key check(Cno like '[0-9][0-9][0-9][0-9]'), Cname char(40) not null, Cpno char(4), Ccredit smallint not null ); /*创建SC表*/ create table sc( Sno char(5) not null , Cno char(4) not null, Grade smallint check(Grade between 0 and 100), primary key(Sno,Cno)); 执行结果: 2)对course表进行修改。程序: /*修改course表,为Cpno列添加一个外键约束*/ alter table course add foreign key (Cpno) references course(Cno); 执行结果:

哈工大数字电路实验报告实验二

数字逻辑电路与系统上机实验讲义 实验二时序逻辑电路的设计与仿真 课程名称:数字逻辑电路与系统 院系:电子与信息工程学院 班级:1205102 姓名: 学号:1120510 教师:吴芝路 哈尔滨工业大学 2014年12月

实验二时序逻辑电路的设计与仿真3.1实验要求 本实验练习在Maxplus II环境下时序逻辑电路的设计与仿真,共包括6个子实验,要求如下: 节序实验内容要求 3.2同步计数器实验必做 3.3时序电路分析实验必做 3.4移位寄存器实验必做 3.5三人抢答器实验必做 3.6串并转换电路实验选做 3.7奇数分频电路实验选做

3.2同步计数器实验 3.2.1实验目的 1.练习使用计数器设计简单的时序电路 2.熟悉用MAXPLUS II仿真时序电路的方法 3.2.2实验预习要求 1.预习教材《6-3计数器》 2.了解本次实验的目的、电路设计要求 3.2.3实验原理 计数器是最基本、最常用的时序逻辑电路之一,有很多品种。按计数后的输出数码来分,有二进制及BCD码等区别;按计数操作是否有公共外时钟控制来分,可分为异步及同步两类;此外,还有计数器的初始状态可否预置,计数长度(模)可否改变,以及可否双向等区别。 本实验用集成同步4位二进制加法计数器74LS161设计N分频电路,使输出信号CPO的频率为输入时钟信号CP频率的1/N,其中N=(学号后两位mod 8)+8。下表为74LS161的功能表。 CLR N LDN ENP ENT CLK D C B A QD QC QB QA CO 0----------------00000 10----↑D C B A D C B A0 1111↑--------加法计数0 1111↑--------11111 110------------QD n QC n QB n QA n 11--0---------- 3.2.4实验步骤 1.打开MAXPLUS II,新建一个原理图文件,命名为EXP3_ 2.gdf。 2.按照实验要求设计电路,将电路原理图填入下表。

数据库 实验三答案

实验3 SQL语言 一、实验目的 1、掌握SQL中运算符和表达式的使用。 2、掌握实用SQL语言查询数据库。 二、实验要求 1、所有的实验过程请严格按照实验内容的步骤进行。 2、对关键步骤截屏并复制到实验报告的相应位置。 三、实验设备、环境 设备:计算机 环境:WINDOWS XP、SQL SERVER 2000中文版 四、实验原理、方法 上机操作 五、实验内容 准备工作:还原学生成绩管理数据库; 注意:写SQL语句时,注意学生成绩管理数据库中表名称和字段名称的对应。 1、使用SQL查询分析器,对学生成绩管理数据库完成如下查询操作: 1)简单查询 (1)求数学系学生的学号和姓名。 (2)求选修了课程的学生学号。

(3)求选修C1课程的学生学号和成绩,并要求对查询结果按成绩的降序排列,如果成绩相同则按学号的升序排列。 (4)求选修课程0001且成绩在80~90之间的学生学号和成绩,并将成绩乘以系数0.8输出。 (5)求数学系或计算机应用系姓王的学生的信息。 (6)求缺少了成绩的学生的学号和课程号。

2)连接查询 (1)查询每个学生的情况以及他(她)所选修的课程。 (2)求学生的学号、姓名、选修的课程名及成绩。 (3)求选修0001课程且成绩为90分以上的学生学号、姓名及成绩。

3)嵌套查询 (1)求选修了0003的学生学号和姓名。 (2)求0001课程的成绩高于王军的学生的学号和成绩。

(3)求其他系中比计算机系某一学生年龄小的学生。 4)使用分组和函数查询 (1)求学生的总人数。 (2)求选修了课程的学生人数。

(3)求课程和选修0001的人数。 (4)求选修课超过3门课的学生学号。

相关文档
相关文档 最新文档