文档库 最新最全的文档下载
当前位置:文档库 › 数字逻辑

数字逻辑

数字逻辑
数字逻辑

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A.500KHz B.200KHz C.100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装

数字逻辑知识点总结

1、三极管的截止条件是V BE <0.5V ,截止的特点是I b =I c ≈0;饱和条件是 I b ≥(E C -Vces )/(β·R C ),饱和的特点是V BE ≈0.7V ,V CE =V CES ≤0.3V 。 2、逻辑常量运算公式 3、逻辑变量、常量运算公式 4、 逻辑代数的基本定律 根据逻辑变量和逻辑运算的基本定义,可得出逻辑代数的基本定律。 ①互非定律: A+A = l ,A ? A = 0 ;1=+A A ,0=?A A ; ②重叠定律(同一定律):A ? A=A , A+A=A ; ③反演定律(摩根定律):A ? B=A+B 9 A+B=A ? B B A B A ?=+,B A B A +=?; ④还原定律: A A = ch2. 1、三种基本逻辑是与、或、非。 2、三态输出门的输出端可以出现高电平、底电平和高阻三种状态。

1、组合电路的特点:电路任意时刻输出状态只取决于该时刻的输入状态,而与该时刻前的电路状态无关。 2、编码器:实现编码的数字电路 3、译码器:实现译码的逻辑电路 4、数据分配器:在数据传输过程中,将某一路数据分配到不同的数据通道上。 5、数据选择器:逻辑功能是在地址选择信号的控制下,从多路数据中选择一路数据作为输出信号。 6、半加器:只考虑两个一位二进制数相加,而不考虑低位进位的运算电路。 7、全加器:实现两个一位二进制数相加的同时,再加上来自低位的进位信号。 8、在数字设备中,数据的传输是大量的,且传输的数据都是由若干位二进制代码0和1组合而成的。 9、奇偶校验电路:能自动检验数据信息传送过程中是否出现误传的逻辑电路。 10、竞争:逻辑门的两个输入信号从不同电平同时向相反电平跳变的现象。 11、公式简化时常用的的基本公式和常用公式有(要记住): 1)()()C A B A BC A ++=+ 2)B A AB += B A B A +=+ (德.摩根定律) 3)B A B A A +=+ 4)B A AB BC B A AB +=++ 5)AB B A B A B A +=+ B A B A AB B A +=+ 12、逻辑代数的四种表示方法是真值表、函数表达式、卡诺图和逻辑图。 ch4. 1、触发器:具有记忆功能的基本逻辑单元。 2、触发器能接收、保存和输出数码0,1。各类触发器都可以由门电路组成。 3、基本触发器特点 1)有两个稳定状态和两个互补的输出。 2)在输入信号驱动下,能可靠地确定其中任一种状态。 4、基本RS 触发器特性表 -R -S Q -Q 说明 0 1 0 1 置0 1 0 1 0 置1 1 1 0或1 1或0 保持原来状态 0 0 1 1 不正常状态,0信号消失后,触发器状态不定

数字逻辑与数字系统

数字逻辑与数字系统 课程设计 班级:计131 学号:201358501144 姓名:吴传文 指导教师:沙丽杰 2014年12月27~29日

目录 第一章功能简介 (2) 正常计时: (2) 整点报时: (2) 校时: (2) 暂停: (2) 清零: (2) 第二章总体结构框图 (2) 第三章各模块框图 (2) 第四章各模块VHDL程序 (3) 第五章仿真图 (9) 第六章下载检验 (10) 第七章心得体会 (10)

第一章功能简介 正常计时:秒(16)、分(16)、小时(12)计数;秒计时的频率为1Hz,数码管用动态扫描实时显示计时的秒、分、小时。 整点报时:逢整点蜂鸣器在“15”分钟的第11、13、秒发频率为512Hz的低音,在“15”分钟的第15秒发频率为1024Hz的高音。 校时:校小时(K1=1),显示小时数码管以4Hz的频率递增计数。 暂停:保持当前时间,暂态显示。 清零:清零当前时间,从零开始重新计时。 第二章总体结构框图 第三章各模块框图 1. 12和16进制框图 2. 动显及蜂鸣器

2 1 第四章各模块VHDL程序 libraryieee; use ieee.std_logic_1164.all; useieee.std_logic_unsigned.all; entity fenpin1 is port(clk:instd_logic; clr:instd_logic; y:out std_logic_vector(1 downto 0); co:outstd_logic); end fenpin1; architecture fp1 of fenpin1 is signal q: std_logic_vector(1 downto 0); begin process(clk) begin if(clr='0') then q<="00"; co<='0'; elsif(clk'event and clk='1') then if(q="01") then q<="00"; co<='1'; else q<=q+1; co<='0'; end if; end if; y<=q;

数字逻辑试卷及答案

计算机学院 第二学期《数字逻辑》 期未考试试卷 A 卷 学号 班级 姓名 成绩 一、填空(每空1分,共14分) 1、(21.5)10=( )2=( )8=( )16 2、若0.1101x =-,则[]x 补=( ) 3、十进制数809对应的8421BCD 码是( ) 4、若采用奇校验,当信息位为10011时,校验位应是( ) 5、数字逻辑电路分为( )和( )两大类 6、电平异步时序逻辑电路的描述工具有( )、( )、( ) 7、函数()()F A B C D =+?+的反函数是( ) 8、与非门扇出系数N O 的含义是( ) 9、若要消除函数(,,)F A B C AB AC =+对应的逻辑电路可能存在的险象,则应增加的冗余项是( ) 二、选择题(每空2分,共16分) 从下列各题的四个答案中,选出一个正确答案,并将其代号填入括号内 1、数字系统采用( )可以将减法运算转化为加法运算 A .原码 B .余3码 C .Gray 码 D .补码 2、欲使J-K 触发器在CP 脉冲作用下的次态与现态相反,JK 的取值应为( ) A .00 B .01 C .10 D .11 3、对完全确定原始状态表中的6个状态,A 、B 、C 、D 、E 、F 进行比简,若有(A ,B ),(D 、E )等效,则最简状态表中只有( )个状态 A .2 B .4 C .5 D .6 4、下列集成电路芯片中,( )属于组合逻辑电路 A .计数器74290 B .寄存器74194 C .三一八译码器74138 D .集成定时器5G555 5、设计一个20进制同步计数器,至少需要( )个触发器 A .4 B .5 C .6 D .20 6、用5G555构成的多谐振荡器有( ) A .两个稳态 B .两个暂稳态

《数字逻辑》考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) A.10 101 B.0010 0101 C.100101 D.10101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C )

A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( A ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [] )E D (C B A F +?+?= 13.组合逻辑电路一般由( A )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( A )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( A ) 。 A. AB+AC+AD+AE B. A+BCED C. (A+BC)(A+DE) D. A+B+C+D+E 16.下列逻辑电路中,不是组合逻辑电路的有( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 17.逻辑表达式A+BC=( C )

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

数字逻辑技术第七章

第七章半导体存储器习题 一、选择题 1.一个容量为1K×8的存储器有个存储单元。 A.8 B.8K C.8000 D.8192 2.要构成容量为4K×8的RAM,需要片容量为256×4的RAM。 A.2 B.4 C.8 D.32 3.寻址容量为16K×8的RAM需要根地址线。 A.4 B.8 C.14 D.16 E.16K 4.若RAM的地址码有8位,行、列地址译码器的输入端都为4个,则它们的输出线(即字线加位线)共有条。 A.8 B.16 C.32 D.256 5.某存储器具有8根地址线和8根双向数据线,则该存储器的容量为。 A.8×3 B.8K×8 C.256×8 D. 256×256 6.采用对称双地址结构寻址的1024×1的存储矩阵有。 A.10行10列 B.5行5列 C.32行32列 D.1024行1024列 7.随机存取存储器具有功能。 A.读/写 B.无读/写 C.只读 D.只写 8.欲将容量为128×1的RAM扩展为1024×8,则需要控制各片选端的辅助译码器的输出端数为。 A.1 B.2 C.3 D.8 9.欲将容量为256×1的RAM扩展为1024×8,则需要控制各片选端的辅助 译码器的输入端数为。 A.4 B.2 C.3 D.8 10.只读存储器ROM在运行时具有功能。 A.读/无写 B.无读/写 C.读/写 D.无读/无写 11.只读存储器ROM中的内容,当电源断掉后又接通,存储器中的内容。 A.全部改变 B.全部为0 C.不可预料 D.保持不变 12.随机存取存储器RAM中的内容,当电源断掉后又接通,存储器中的内容。 A.全部改变 B.全部为1 C.不确定 D.保持不变 13.一个容量为512×1的静态RAM具有。 A.地址线9根,数据线1根 B.地址线1根,数据线9根 C.地址线512根,数据线9根 D.地址线9根,数据线512根 14.用若干RAM实现位扩展时,其方法是将相应地并联在一起。 A.地址线 B.数据线 C.片选信号线 D.读/写线 15.PROM的与陈列(地址译码器)是。 A.全译码可编程阵列 B. 全译码不可编程阵列 C.非全译码可编程阵列 D.非全译码不可编程阵列 二、判断题(正确打√,错误的打×) 1.实际中,常以字数和位数的乘积表示存储容量。() 2. RAM由若干位存储单元组成,每个存储单元可存放一位二进制信息。() 3.动态随机存取存储器需要不断地刷新,以防止电容上存储的信息丢失。() 4.用2片容量为16K×8的RAM构成容量为32K×8的RAM是位扩展。()5.所有的半导体存储器在运行时都具有读和写的功能。()6. ROM和RAM中存入的信息在

数字逻辑试卷(A)

数字逻辑试卷(A) 1.十进制数的特点一是( 逢十进一 ),二是有( 十 )个计数符号 2. R 进制数R M 可表示为R M = ∑--=1 n m i i a ( R ) 3. (15.75)10 =( 1111.11 )8 4. (562)10 =( 0101 0110 0010 )8421BCD 5.(1010.10)2 =( (A.8)16 )16 6.每位八进制数可用( 3 )位二进制数表示。 7.ASCII 码是七位二进制代码,最多可以表示( 128 )个字符。 8.最基本的逻辑门电路是( 与 )门、( 或 )门和( 非 )门。 9.8个变量有( 28 )个最小项。 10.施密特触发器V +≠V -称之为( 滞后 )特性。 二、判断(每小题1分,本大题10分) 1.循环码也是BCD 码。( n ) 2. 同或是异或的反。( y ) 3. 1=+ABCD D C B A ( n ) 4.组合电路是各种门电路构成的,不包含触发器。( y ) 5.二进制译码器给定输入,所有输出中只有一个是有效电平。( y ) 6.优先编码器允许多个输入同时有效。( y ) 7.边沿触发器的状态变化只能发生在CP 有效边沿到达的一瞬间,在CP 的高电平、低电平期间以及无效边沿时触发器状态不变。( y ) 8.异步时序电路无统一的时钟。( y ) 9.Mealy 型时序电路的输出是输入和现态的函数。( y ) 10.多谐振荡器需要外加触发信号才能产生矩形波输出。( n ) 三、单项选择(将正确选择的编号填入括号中,每小题1分,本大题10分) 1.下列BCD 码哪个是无权码?(B .余3码 ) 2.逻辑函数的哪种表示方式是唯一的?(B .真值表) 3.下列哪种门输出端不能直接并联?( C .普通与非门) 4.下列哪种电路在输出端可以得到输入变量的全体最小项?(A .二进制译码器 ) 5.二——十进制编码器有十个输入,有几个输出?( B.4个 )

数字逻辑电路期末考试卷及答案

- - 优质资料 期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷考试时间:110 分钟 XXXX 学院 ______________系级班 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F =B . C AB F += C .C A AB F += D .C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D .BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 得分 评卷人 装 订 线 内 请 勿 答 题

- 优 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++=功能相等的表达式为___C_____。 A .D C B A F +++=D C B A F +++= .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz

数字逻辑感想

数字逻辑实验感想 本学期我们开设了数字逻辑实验课,在实验课中,我学到了很多在平时的学习中学习不到的东西。为期六周的的实验就要画上一个圆满的句号了,回顾这六周的学习,感觉十分的充实,通过亲自动手,使我进一步了解了数字逻辑实验的基本过程和基本方法,为我今后的学习奠定了良好的实验基础。 首先,在对所学的理论课而言,实验给了我们一个很好的把理论应用到实践的平台,让我们能够很好的把书本知识转化到实际能力,提高了对于理论知识的理解,认识和掌握。其次,对于个人能力而言,实验很好的解决了我们实践能力不足且得不到很好锻炼机会的矛盾,通过实验,提高了自身的实践能力和思考能力,并且能够通过实验很好解决自己对于理论的学习中存在的一些知识盲点。 回顾六个实验的过程,总的来说收获还是很多的。最直接的收获是提高了实验中的基本操作能力,并对EDA仪器有了了解,并掌握了基本的操作。但感到更重要的收获是培养了自己对实验的兴趣。还有,就是切身的体验到了严谨的实验态度是何等的重要。 不过说实话,在做试验之前,我以为不会难做,就像以前做的实验一样,操作应该不会很难,做完实验之后两下子就将实验报告写完,直到做完几次实验后,我才知道其实并不容易做。它真的不像我想象中的那么简单,天真的以为自己把平时的理论课学好就可以很顺利的完成实验,事实证明我错了。在最后的综合实验中,我更是受益匪浅。

学习的过程中,我深深体会到,学习不单单要将理论知识学扎实了,更重要的是实际动手操作能力,学完了课本知识,我并没有觉得自己有多大的提高,但是在随后的实验过程中我真的感觉学会了很多,学到了很多知识,在实践中更加理解了书本上的理论知识的经典所在以及这门学科的意义和用处!真心希望以后的课程都能将理论与实践充分的结合起来,在实践的过程中串联书本的知识,让理论化为实践的力量!

数字逻辑系统教案

第3章V H D L基础 一、VHDL的优点 1、用于设计复杂的、多层次的设计。支持设计库和设计的重复使用 2、与硬件独立,一个设计可用于不同的硬件结构,而且设计时不必了解过多的硬件细节。 3、有丰富的软件支持VHDL的综合和仿真,从而能在设计阶段就能发现设计中的Bug,缩短设计时间,降低成本。 4、更方便地向ASIC过渡 5、VHDL有良好的可读性,容易理解。 二、VHDL与计算机语言的区别 1、运行的基础 计算机语言是在CPU+RAM构建的平台上运行 VHDL设计的结果是由具体的逻辑、触发器组成的数字电路 2、执行方式 计算机语言基本上以串行的方式执行 VHDL在总体上是以并行方式工作 3、验证方式 计算机语言主要关注于变量值的变化 VHDL要实现严格的时序逻辑关系 3.1 VHDL 基本语法 Library IEEE; --使用IEEE库 use IEEE.std_logic_1164.all; --本设计实体开放 IEEE --中 std_logic_1164程序包的所有项目entity eqcomp4 is --实体 port(a, b:in std_logic_vector(3 downto 0);--端口定义 equal :out std_logic); end eqcomp4; --实体结束architecture dataflow of eqcomp4 is --结构体

begin --关键字begin equal <= ‘1’ when a=b else ‘0’; --功能描述语句 End dataflow; --结构体结束 3.1.1 组合电路描述 在任何时刻,输出状态只决定于同一时刻各输入状态的组合,而与先前状态无关的逻辑电路称为组合逻辑电路。 组合逻辑电路的特点: (1)输出与输入之间没有反馈延迟通路; (2)电路中不含记忆元件。 一、二选一多路选择器 [提问] 二选一多路选择器输入输出关系? [提问] 二选一多路选择器在传统数字电路中的实现方法? 1、根据真值表化简后采用基本门电路数字芯片来实现 2、采用专门多路选择器数字芯片来实现 [分析程序]二选一多路选择器的VHDL程序(例3-1)、(例3-2)、(例3-3),并比较实现同一个功能的三个VHDL程序相同点和不同点,最后用QUARTUSII进行功能时序波形仿真来验证程序实现的功能是否符合二选一多路选择器的功能 3.1.2 VHDL结构 一个VHDL程序具有五个基本元素: –Entity(实体):实体用于描述设计系统的外部接口信号。 –Architecture(结构体):结构体用于描述系统的行为、系统数据的流程或者系统组织结构形式。 –Configuration(配置):配置用于从库中选取所需单元来组成系统设计的不同规格的不同版本,使被设计系统的功能发生变化。 –Package(包集合):包集合存放各设计模块能共享的数据类型、常数、子程序等。 –Library(库):库用于存放已编译的实体、构造体、包集合、配置。

《数字逻辑》期末考试A卷参考答案

《数字逻辑》期末考试 A 卷参考答案 、判断题:下面描述正确的打’/,错误的打‘X’(每小题1分,共10 分) 1、为了表示104个信息,需7位二进制编码[V ] 2、BCD码能表示0至15之间的任意整数[X ] 3、余3码是有权码[X ] 4、2421码是无权码[X ] 5、二值数字逻辑中变量只能取值 6、计算机主机与鼠标是并行通信 7、计算机主机与键盘是串行通信8、占空比等于脉冲宽度除于周期0和1,且表示数的大小[X ] [X ] [V ] [V ] 9、上升时间和下降时间越长,器件速度越慢[V ] 10、卡诺图可用来化简任意个变量的逻辑表达式[X ] 、写出图中电路的逻辑函数表达式。(每小题5分,共10分) 1、F=A B 2、F= AB CD 2分,共20分)

1、在图示电路中.能实现逻辑功能F = ATH 的电路是 A ° TTL 电路 (A) F = ABCD (B) F = AH ? CD - (C) F= A + B + C + D (D) F = A + B ? C + D (E) F= A BCD 4 . 己知F 二ABC + CD ■可以肯定使F = 0的情况是 _° (A) A=0, BC= 1; (B) B= 1 , C= 1 } (C) AB= 1, CD=Q. (D) BC= 1 , D= 1 5、逻辑函数A B+BCD+A C+ B C 可化简为A,B,C,D 。 (A) AB + AC + BC (B) AB + C (A4-B) (C) AB + CAB A — O ? =1 1 Q A |— I 1 F ?- & 1 Q A B L I l — (B) 悬0 ---- 空 。— A — & Bo — Co- & B A 3 ?满足如图所示电路的输岀函数F 的表达式为丄3 B 1 o — V OF

数字逻辑心得体会(多篇范文)

数字逻辑心得体会 数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁,系统综合为目的,全面介绍数字电路的基本理论、分析方法、综合方法和实际应用,并着重从以下几个方面进行了介绍 1.介绍如何整理、设计电子教案; 2.如何讲好本门课程; 3.教学手段与教学方法在本课程的体现; 4.综合设计实验的设计与实施; 5.国家精品课程的申报与建设。 在解决如何讲好本门课程环节,侯教授提出了“厚理博术、知行相成”的理念,使我对该课程的教学有了更深的认识。在我院的实际教学过程中,由于课时少,实验的课时被大量压缩,侯教授关于课程实验的处理方式给了我们一种全新的方案。侯教授课件中很多flash 动画的灵活应用,也较好的解决了那些用语言无法表达清楚的问题的讲解。 研究性教学和双语教学对年轻教师提出了新的要求。作为一名年轻教师,刚走上讲台不久,在课程的讲授过程中,基本都是采用传统的教学方法,即以讲授为主,实验为辅,案例教学基本没有。平铺直叙和填鸭式教学早被学生所厌倦。刘颖教授的研究性教学极好的调动

了学生参与教学的积极性。通过刘颖教授的报告,我深深的感受到数字逻辑与系统课程不仅是一门基础课程,同时也是一门综合性较高的实用课程。研究性教学方式的提出也给我们这些年轻教师提出了新的努力方向。研究性教学虽然给年轻教师提出了更大的要求和较大的压力,但是也是一种努力工作的动力,促进年轻教师的不断成长。同时,娄淑琴教授关于双语教学的报告,也给我们提出了新的要求,自己深深感受到责任的重大,压力也越来越大。但是也激发自己努力的激情与信心。研究性教学和双语教学在一定程度上对年轻教师的科研、应用水平和外语能力等综合素质提出了更高的要求,同时,进一步促进教师阅读国外科技文献、追踪行业发展新动向,保持教师敏锐的学习能力,利于形成新的观点和见解。 通过此次培训,也感受到了师德在教学工作中的重要作用的体会。侯教授及其团队教师的人格魅力在实际教学中起到了很好的促进教学作用。在培训中,很多参加培训的老师被侯教授的敬业精神所感动,所鼓舞,这一点值得我们年轻教师学习并发(请你支持)扬光大。当崇高的师德与高超的教学技术融于一身时,这个才是大师。 在此次培训中,我积极与各院校教师交流,共同探讨该门课程的实际教学中遇到的问题,通过交流大家认为在数字电子技术基础教学工作中遇到的主要困难是:很多学生认为学习数字逻辑课程没用,学习不主动,没有创新意识。并从其它老师处学习到了解决诸如分析键盘译码电路、奇偶检验电路、计算机i/o接口地址译码电路,设计火灾

数字逻辑电路试卷(附答案)

1.逻辑函数的两种标准形式分别为。 2.将2004个“1”异或起来得到的结果是(0)。 3.半导体存储器的结构主要包含三个部分,分别是(译码器)、(存储阵列)、(控制逻辑)。 4.A/D转换的四个过程是采样、保持、量化和(编码),其中采样脉冲的频率要求至少是模拟信号最高频率的(2)倍。 5.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为(5/128)v;当输入为10001000,则输出电压为(5*136/128)v。 6.就逐次逼近型和双积分型两种A/D转换器而言,(双积分型)的抗干扰能力强,(逐次逼近型)的转换精度高。 7.(61. 5)10 == (3D.8)16 = (10010001.1000)5421BCD; 8.已知某74ls00为2输入4与非门,I OL=22mA,I OH=2mA,I IL=2mA,I IH=40μA,则其低电平输出的扇出系数N OL=(11),其高电平输出的扇出系数N OH=(50); 9.函数的最小项表达式为F=(4.5.7),最大项表达式为(0.1.2.3.6) 10. 根据对偶规则和反演规则,直接写出的对偶式和反函数, Fd =(),=(); 11. 12.已知X=(-17),则X的8位二进制原码为(10001001),其8位二进制补码为(11110111); 13.T' 触发器的次态方程是(Qn+1 = ~Qn); 14.D触发器的次态方程是(); 15.根据毛刺的不同极性,可以将逻辑险象分为0型险象和1型险象,对于一个逻辑表达式,若在给定其它变量适当的逻辑值后,出现F= ()的情形,则存在1型险象;

数电学习数字电路学习心得体会

数电学习数字电路学习心得体会 学习数字电路之心得体会 不知不觉中,本学期数字电路的学习就要结束了,现在回想一下, 到底学了哪些东西呢?如果不看书的话,真有点记不住学习内容的先 后顺序了,看了目录以后,就明白到底学了什么东西了,最开始学的内容还比较简单,而后面的内容就学得糊里糊涂了,似懂非懂,按老师的说法,就是前面的东西只有十几度的水温,而到了后面,温度就骤升了,需要花更多的时间。 其实吧,总的来说,学习的思路还是很清楚的,最开始学的是数制与码制,特别是二进制的一些东西,主要是为后面的学习打基础,因为对于数字电路来说,输入就是0和1,输出也是这样,可以说,明白二进制是后面学习最基础的要求。到第二章,又学了一些逻辑代数方面的基本知识,首先就有很多的逻辑代数的公式,然后就是逻辑函数了,我感觉这里的函数和原来学的其实都差不多,只不过这里是逻辑函数,每一个变量的取值只有0和1罢了,然后就是用不同的方式来表达逻辑函数,学了很多方法,有逻辑图,波形图等等,过后又学了逻辑函数的两种标准形式—最小项之和和最大项之积,还有逻辑函数的化简方法,之后还有一些无关项和任意项的知识。总而言之,前两章的内容还是比较简单的,都是一些基础的东西,没有多大的难度,学习起来也相对轻松。

第三章老师没有讲,是关于门电路的知识,我认为还是比较重要的,因为数字电路的构成就是一系列的门电路的组合,以此来完成一定的功能。第四章讲的是组合电路,说白了,就是组合门电路来实现 特定的功能,其最大的特点就是此时的输出只与此时的输入有关,并且电路中不含记忆原件。首先,学习组合电路,我们要知道如何去分析,确定输入与输出,写出各输出的逻辑表达式并且化简,然后就可以列出真值表了,那么,这个电路的功能也就一目了然了,而关于组合电路的设计,其实就是组合电路分析方法的逆运算,设计思路很简单,只要按着步骤来,一般没什么问题,在数电实验课上,就有组合逻辑电路的设计,需要我们自己去设计一些具有特定功能的组合电路,还是挺有趣的。过后还学了一些常用的组合逻辑电路,比如编码器,译码器,数据选择器,加法器等等,我感觉这些电路都挺复杂的,分析起来都很麻烦,更别说设计了,我要做的就是明白它的工作原理,知道它的设计思想就行了。最后了解了一下组合逻辑电路中存在的竞争冒险现象。 我觉得第五章和第六章是比较难的,第五章讲的是触发器,就是一种具有记忆功能的电路,我感觉这一章是学得比较乱的,首先,触发器的种类有点多,有SR锁存器,D触发器,JK触发器,每种触发器有不同的功能,其次,触发器还有不同的触发方式,很容易弄混淆,

数字逻辑电路与系统设计习题答案

数字逻辑电路与系统设计习题答案

第1章习题及解答 1.1 将下列二进制数转换为等值的十进制数。 (1)(11011) 2 (2) (10010111) 2 (3)(1101101) 2 (4) (11111111) 2 (5)(0.1001) 2 (6) (0.0111) 2 (7)(11.001) 2 (8) (101011.11001) 2 题1.1 解: (1)(11011) 2 =(27) 10 (2) (10010111) 2 =(151) 10 (3)(1101101) 2 =(109) 10 (4) (11111111) 2 =(255) 10 (5)(0.1001) 2 =(0.5625) 10 (6)(0.0111) 2 =(0.4375) 10 (7)(11.001) 2=(3.125) 10 (8)(101011.11001) 2 =(43.78125) 10 1.3 将下列二进制数转换为等值的十六进制数 和八进制数。 (1)(1010111) 2 (2)

(110111011)2 (3) (10110.011010)2 ( 4) (101100.110011)2 题1.3 解: (1) (1010111)2 =(57)16 =(127)8 (2) (110011010)2 =(19A )16 =(632)8 (3) (10110.111010)2 =(16.E8)16 =(26.72)8 (4) (101100.01100001)2 =(2C.61)16 = (54.302)8 1.5 将下列十进制数表示为8421BCD 码。 (1) (43)10 (2) (95.12)10 (3) (67.58)10 (4) (932.1)10 题1.5 解: (1) (43)10 =(01000011)8421BCD (2) (95.12)10 =(10010101.00010010) 8421BCD (3) (67.58)10 =(01100111.01011000)8421BCD (4) (932.1)10 =(100100110010.0001) 8421BCD

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 一、选择题(每小题2分,共20分) 1.八进制(273)8中,它的第三位数2 的位权为___B___。 A.(128)10B.(64)10C.(256)10 D.(8)10 2. 已知逻辑表达式C B C A AB F+ + =,与它功能相等的函数表达式 _____B____。 A.AB F=B.C AB F+ = C.C A AB F+ =D.C B AB F+ = 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A.原码B.ASCII码C.补码D.BCD码4.对于如图所示波形,其反映的逻辑关系是___B_____。 A.与关系B.异或关系C.同或关系D.无法判断 5.连续异或1985个1的结果是____B_____。 A.0B.1 C.不确定D.逻辑概念错误 6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 A.D C B A F+ + + =B.D C B A F+ + + = C.D C B A F=D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 B A F & ? F B A &

8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为_____D_____。 A. 500KHz B.200KHz C. 100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。 A. 0100100 B.1100011 C. 1011011 D.0011011 二、填空题(每小题2分,共20分) 11.TTL电路的电源是__5__V,高电平1对应的电压范围是__2.4-5____V。 12.N 个输入端的二进制译码器,共有___N2____个输出端。对于每一组输入代码,有____1____个输出端是有效电平。 13.给36个字符编码,至少需要____6______位二进制数。 14.存储12位二进制信息需要___12____个触发器。

数字逻辑心得体会

数字逻辑心得体会 数字逻辑心得体会 数字逻辑心得体会 数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁,系统综合为目的,全面介绍数字电路的基本理论、分析方法、综合方法和实际应用,并着重从以下几个方面进行了介绍 1.介绍如何整理、设计电子教案; 2.如何讲好本门课程; 3.教学手段与教学方法在本课程的体现; 4.综合设计实验的设计与实施; 5.国家精品课程的申报与建设。 在解决如何讲好本门课程环节,侯教授提出了厚理博术、知行相成的理念,使我对该课程的教学有了更深的认识。在我院的实际教学过程中,由于课时少,实验的课时被大量压缩,侯教授关于课程实验的处理方式给了我们一种全新的方案。侯教授课件中很多flash动画的灵活应用,也较好的解决了那些用语言无法表达清楚的问题的讲解。研究性教学和双语教学对年轻教师提出了新的要求。作为一名年轻教师,刚走上讲台不久,在课程的讲授过程中,基本都是采用传统的教

学方法,即以讲授为主,实验为辅,案例教学基本没有。平铺直叙和填鸭式教学早被学生所厌倦。刘颖教授的研究性教学极好的调动了学生参与教学的积极性。通过刘颖教授的报告,我深深的感受到数字逻辑与系统课程不仅是一门基础课程,同时也是一门综合性较高的实用课程。研究性教学方式的提出也给我们这些年轻教师提出了新的努力方向。研究性教学虽然给年轻教师提出了更大的要求和较大的压力,但是也是一种努力工作的动力,促进年轻教师的不断成长。同时,娄淑琴教授关于双语教学的报告,也给我们提出了新的要求,自己深深感受到责任的重大,压力也越来越大。但是也激发自己努力的激情与信心。研究性教学和双语教学在一定程度上对年轻教师的科研、应用水平和外语能力等综合素质提出了更高的要求,同时,进一步促进教师阅读国外科技文献、追踪行业发展新动向,保持教师敏锐的学习能力,利于形成新的观点和见解。 通过此次培训,也感受到了师德在教学工作中的重要作用的体会。侯教授及其团队教师的人格魅力在实际教学中起到了很好的促进教学作用。在培训中,很多参加培训的老师被侯教授的敬业精神所感动,所鼓舞,这一点值得我们年轻教师学习并发扬光大。当崇高的师德与高超的教学技术融于一身时,这个才是大师。 在此次培训中,我积极与各院校教师交流,共同探讨该门课程的实际教学中遇到的问题,通过交流大家认为在数字电子技术基础教学工作中遇到的主要困难是:很多学生认为学习数字逻辑课程没用,学习不主动,没有创新意识。并从其它老师处学习到了解决诸如分析键盘译

数字逻辑考题及答案

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、()8 =( )16 2、 10= ( )2 3、(FF )16= ( 255 )10 4、[X]原=,真值X= ,[X]补 = 。 5、[X]反=,[X]补= 。 6、-9/16的补码为,反码为 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。 4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分)

5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 1 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 8分 2、分析以下电路,其中X 为控制端,说明电路功能。(10分) 解:XABC C B A X ABC X C B A X C B A X C B A X F ++++?+?= 4分 )()(ABC C B A X C B A X F ++⊕⊕= 4分 所以:X=0 完成判奇功能。 X=1 完成逻辑一致判断功能。 2分

相关文档