文档库 最新最全的文档下载
当前位置:文档库 › 最新南华大学级汇编期末考试试卷A-

最新南华大学级汇编期末考试试卷A-

最新南华大学级汇编期末考试试卷A-
最新南华大学级汇编期末考试试卷A-

南华大学2010–2011学年度第2 学期 汇编与接口技术 课程考试试卷(A 卷、2010年级) ( 适用专业:计算机科学与技术) 考试日期:2012年6月11 日 考试类别:考试 考试时间:120分钟 题号 一 二 三 四 五 总分 得分 一、填空题:(每空2 分,共 20 分) 1、8086的地址总线为__ _位。 2、DQ 伪指令中定义的每个操作数占_____ ___字节。 3、设DS=3A4FH ,SI=3200,指令MOV AX,[SI]中源操作数的物理地址是 _。 4、 在8086微机的输入/输出指令中,I/O 端口号通常是由DX 寄存器提供的,但有时也可以在指令中直接指定端口号。可直接由指令指定的I/O 端口数有__ __个。 5、在串操作指令CMPSB 执行时,用于目的地址的寄存器是______________。 6、设SS=2000H ,SP=0100H ,AX=BX=1000H ,顺序执行PUSH AX 后,SP=___________。 7、循环指令LOOPNZ 终止循环的条件是CX=0或 。 8、语句BUF DW 10 DUP(3 DUP(2,10H),3,5)经过汇编后,为变量BUF 分配的存储单元字节数是 。 9、把0FF H看成字节有符号数的十进制数是_ _______,看成字节无符号数的十进制数是_ ______。 得分 阅卷人 …………………………………线………………………………………订………………………………………装………………………………………学院 专业 考号 姓名

二、选择题:(每题 2 分,共 30 分)

1、堆栈指针寄存器是( )。

A 、 IP

B 、SP

C 、BP

D 、 PSW

2、汇编语言源程序中,每个语句由四项组成,如语句要完成一定功能,那么该语句中不可省略的项是( )。

A 、名字项

B 、操作项

C 、操作数项

D 、注释项

3、下面的数据传送指令中,错误的操作是( )。

A 、MOV [BX+SI],1000H

B 、MOV DS,AX

C 、MOV CX,1000H

D 、MOV 1000H,AX

4、设AH =0,AL =03H ,BL =08H ,执行指令ADD AL ,BL/AAA 之后,其结果应是( )。

A 、AH =01,AL =01

B 、 AH =1 AL =11

C 、AH =0 AL =0BH

D 、 AH =0 AL =01

5、在串操作指令前使用重复前缀指令REPNE ,终止串的重复操作条件是( )。 ① CX =0且ZF =0 ② CX =0且ZF =1

③ CX =0或ZF =0 ④ CX =0或ZF =1

6、下面指令序列测试BH 中的数是否为偶数,若为偶数则转移至K1处,横线处的指令应为( )。

TEST BH ,01H

_____A ____K1

A 、JNE

B 、JZ

C 、JS

D 、JC

7、在汇编语言程序的开发过程中使用宏功能的顺序是( )。

A 、宏定义,宏调用

B 、宏定义,宏展开

C 、宏定义,宏调用,宏展开

D 、宏定义,宏展开,宏调用

8、编写分支程序,在进行条件判断前,可用指令构成条件,其中不能形成条件的指令有( )。

A 、CMP

B 、SUB

C 、AN

D D 、MOV

9、假设某汇编语言源程序的代码段地址为code ,启动地址为START ,可用来设置CS 寄得分 阅卷人

存器值的语句是( )

A、ASSUME CS:CSEG

B、END START

C、MOV CS,CSEG

D、MOV AX,CSEG

10、若定义BUF DW ‘ab',则BUF+1和BUF两个相邻的内存单元中存放的数据是()。

A、 4241H

B、 4142H

C、6261H

D、 6162H

11、下面指令执行后,改变AX寄存器内容的指令是( )。

A、TEST AX,0001H

B、OR AX,AX

C、CMP AX,BX

D、AND AX,BX

12、与MOV BX,OFFSET VAR指令完全等效的指令是( )。

A、MOV BX,VAR

B、LDS BX,VAR

C、LES BX,VAR

D、LEA BX,VAR

13、BVAL DB 10H,20H

WVAL DW 1000H

下列指令中需要加上PTR伪操作的是:( )

A、 MOV AL,BVAL

B、MOV DL,[BX]

C、SUB [BX],2

D、MOV CL,WVAL

14、执行下面指令序列后,结果是( )。

MOV AL,3FH

CBW

A、AX=0FF3FH

B、AX=803FH

C、AX=003FH

D、AX=0F3FH

15、MOV SP,3210H

PUSH AX

执行上述指令序列后,SP寄存器的值是( )。

A、3211H

B、320EH

C、320FH

D、3212H

得分

三、简答题:(共15分)

阅卷人

1、设某数据段:

DATA SEGMENT

ORG 120H

DA1 DB 'COMPUTER'

DB 0AH,0DH

COUNT EQU $-DA1

DA2 DW'CO','MP','UT','ER'

DATA ENDS

试回答:(1)DA1的偏移地址是:___________________(2分)

(2)COUNT的值是___________________________________(2分)

(3)DA2+5字节单元的内容是_________________________________(2分)

2、指出下列指令的错误并改正。(本小题4分)

(1) MOV BX,DL

(2) MOV DS,2000H

(3) ADD [SI],[DI]

(4)POP DH

3.求绝对值的宏定义如下:(本小题5分)

absol MACRO oper

LOCAL next

cmp oper,0

jge next

neg oper

next:

ENDM

试展开下列调用:absol bx

(完整版)风险管理期末考试试卷A卷及参考答案

风险管理期末考试试题(A 卷) 一、单项选择题(本大题共20小题,每小题1分,共20分) 在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。错选、多选 或未选均无分。 1. 大多数纯粹风险属于( ) A. 经济风险 B.静态风险 C.特定风险 D .财产风险 2. 以下属于投机风险的是( ) A.交通事故 B.买卖股票 C.地震 D.火灾 3 .保险属于( ) A.避免风险 B.自留风险 C.中和风险 D.转移风险 4. 安装避雷针属于 ( ) A.损失抑制 B.损失预防 C.风险避免 D.风险转移 5. 医生在手术前要求病人家属签字的行为属于 ( ) 6. 多米诺骨牌理论的创立者是 ( ) A.哈顿 B.海因里希 C.加拉格尔 D.马歇尔 7. 在风险事故发生前达成的借贷协议属于 ( ) A.内部借款 B.特别贷款 C.应急贷款 D.抵押借款 8. 营业中断损失属于( ) A.直接损失 B.间接损失 C. 责任损失 D.额外费用损失 9. 当保险方与被保险方对合同的理解不一致时,对合同的解释应有利于 ( ) A.保险方 B. 第三方 C. 被保险方 D.具体情况具体确定 10. 关于团体保险以下说法正确的是( ) A.保险金额无上限 B.增加了逆选择 C.对团体的性质有要求 D.不能免体检 11. 实施风险管理的首要步骤是( ) A.风险识别 B.风险评价 C.风险处理 D.风险管理决策 12. 选择保险人时,以下因素中最重要的是( ) A.费率高低 B.规模大小 C.偿付能力 D.折扣多少 13. 以下属于特定风险的是( ) A.战争 B.通货膨胀 C.自然灾害 D.偷窃 14. 在一定的概 率水平下,单一风险单位因单一事故所致的最大损失称为( ) B. 最大预期损失 C.损失期望值 D.年度最大可能损失 A.风险避免 B. 风险隔离 C. 风险转移 D. 风险自留 A.最大可能损失

汇编语言程序设计期末考试试卷及参考答案

【汇编语言程序设计】期末考试-试卷及参考答案

执行上述两条指令后,正确的结果是( B )。 A.(AX)=1001H; B.(AX)=0FFFH; C.(AX)=1000H; D.(AX)=0111H。 6.串指令中的目的操作数地址一定是由____A_ _提供。()A.ES:[DI] ; B.SS:[BP]; C.DS:[SI] ; D.CS:[IP]。 7.将DX的内容除以2,正确的指令是( C )。 A.DIV 2 ; B.DIV DX,2 ; C.SAR DX,1; D.SHL DX,1。 8. 用户为了解决自己的问题,用汇编语言所编写的程序,称为( B )。 A.目标程序; B.汇编语言源程序; C.可执行程序; D.汇编程序。 9.用一条指令仅实现将AX←BX+SI的方法是( D )。 A.XCHG AX,[BX][SI] ; B.MOV AX,[BX+SI]; C.LEA AX,BX[SI] ; D.LEA AX,[BX][SI]。 10.设SP初值为2000H,执行指令“PUSH AX”后,SP的值是( C )。 A.1FFFH; B.1998H; C.1FFEH; D.2002H。 2. C 二、指出下列指令的出错原因,并改正。(每小题2分,共12分) 1.MOV BX,DL;操作数类型不匹配,改正为:MOV BL,DL 或MOV BX,DX 2.MOV CS,AX;代码段寄存器CS不能作目的操作数,改正为MOV DS,AX 3.ADD AX,DS;段寄存器DS的内容不能作为加法指令的操作数,改正为 MOV BX,DS ADD AX,BX 4.TEST BX,[CX];不能使用CX实现寄存器间接寻址,改正为 MOV SI,CX TEST BX,[SI] 5.SUB [BX],[BP+SI];两个操作数不能同为存储器操作数,且两个操作数的数据类型不确定,改正为:MOV AX,[BX] SUB AX,[BP+SI] 或:MOV AL,[BX] SUB AL,[BP+SI] 6.SHL DX ;没有给出移位次数,改正为SHL DX,1或 SHL DX,CL 三、程序填空题(注意:每空只能填一条指令,并注释说明所填指令的作用!每空3分,共18分) 1.在表TABLE处存放着N个无符号字节数,求表中前10个字节数的总和并

线性代数期末考试试卷答案

线性代数期末考试题样卷 一、填空题(将正确答案填在题中横线上。每小题2分,共10分) 1. 若02 2 1 50 1 31 =---x ,则=χ__________。 2.若齐次线性方程组??? ??=++=++=++0 00321 321321x x x x x x x x x λλ只有零解,则λ应满足 。 3.已知矩阵n s ij c C B A ?=)(,,,满足CB AC =,则A 与B 分别是 阶矩阵。 4.矩阵??? ? ? ??=32312221 1211 a a a a a a A 的行向量组线性 。 5.n 阶方阵A 满足032 =--E A A ,则=-1A 。 二、判断正误(正确的在括号内填“√”,错误的在括号内填“×”。每小题2分,共10分) 1. 若行列式D 中每个元素都大于零,则0?D 。( ) 2. 零向量一定可以表示成任意一组向量的线性组合。( ) 3. 向量组m a a a ,, ,Λ21中,如果1a 与m a 对应的分量成比例,则向量组s a a a ,,,Λ21线性相关。( ) 4. ? ? ??? ???? ???=010********* 0010 A ,则A A =-1。( ) 5. 若λ为可逆矩阵A 的特征值,则1 -A 的特征值为λ。 ( ) 三、单项选择题 (每小题仅有一个正确答案,将正确答案题号填入括号内。每小题2分,共10分) 1. 设A 为n 阶矩阵,且2=A ,则=T A A ( )。 ① n 2 ② 1 2 -n ③ 1 2 +n ④ 4 2. n 维向量组 s ααα,,,Λ21(3 ≤ s ≤ n )线性无关的充要条件是( )。 ① s ααα,, ,Λ21中任意两个向量都线性无关 ② s ααα,, ,Λ21中存在一个向量不能用其余向量线性表示 ③ s ααα,, ,Λ21中任一个向量都不能用其余向量线性表示

EDA期末考试考卷及答案

(A卷) 赣南师范学院 2010—2011学年第一学期期末考试试卷(A卷)(闭卷)年级 2008 专业电子科学与技术(本)课程名称 EDA技术基础 2、学生答题前将密封线外的内容填写清楚,答题不得超出密封线; 3、答题请用蓝、黑钢笔或圆珠笔。 一、单项选择题(30分,每题2分) 1.以下关于适配描述错误的是 B A.适配器的功能是将综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件 B.适配所选定的目标器件可以不属于原综合器指定的目标器件系列 C.适配完成后可以利用适配所产生的仿真文件作精确的时序仿真 D.通常,EDAL软件中的综合器可由专业的第三方EDA公司提供,而适配器则需由FPGA/CPLD供应商提供 2.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述 D 。 A.器件外部特性B.器件的综合约束 C.器件外部特性与内部功能D.器件的内部功能 3.下列标识符中, B 是不合法的标识符。 A.State0 B.9moon C.Not_Ack_0 D.signall 4.以下工具中属于FPGA/CPLD集成化开发工具的是 D A.ModelSim B.Synplify Pro C.MATLAB D.QuartusII 5.进程中的变量赋值语句,其变量更新是 A 。 A.立即完成B.按顺序完成 C.在进程的最后完成D.都不对 6.以下关于CASE语句描述中错误的是 A A.CASE语句执行中可以不必选中所列条件名的一条 B.除非所有条件句的选择值能完整覆盖CASE语句中表达式的取值,否则最末一个条件句的选择必须加上最后一句“WHEN OTHERS=><顺序语句>” C.CASE语句中的选择值只能出现一次 D.WHEN条件句中的选择值或标识符所代表的值必须在表达式的取值范围 7.以下哪个程序包是数字系统设计中最重要最常用的程序包 B A.STD_LOGIC_ARITH B.STD_LOGIC_1164 C.STD_LOGIC_UNSIGNED D.STD_LOGIC_SIGNED 8.基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→A →综合→适配→时序仿真→编程下载→硬件测试。 A.功能仿真B.逻辑综合C.配置D.引脚锁定 9.不完整的IF语句,其综合结果可实现 D A.三态控制电路B.条件相或的逻辑电路 C.双向控制电路D.时序逻辑电路 10.下列语句中,属于并行语句的是A A.进程语句B.IF语句C.CASE语句D.FOR语句11.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中, C 是错误的。 A.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件 B.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的 C.综合是纯软件的转换过程,与器件硬件结构无关 D.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束 12.CPLD的可编程是主要基于什么结构 D 。 A.查找表(LUT)B.ROM可编程 C.PAL可编程D.与或阵列可编程 13.以下器件中属于Altera 公司生产的是 B A.ispLSI系列器件B.MAX系列器件 C.XC9500系列器件D.Virtex系列器件 14.在VHDL语言中,下列对时钟边沿检测描述中,错误的是 D A.if clk'event and clk = '1' then B.if clk'stable and not clk = '1' then C.if rising_edge(clk) then D.if not clk'stable and clk = '1' then 15.以下关于状态机的描述中正确的是 B A.Moore型状态机其输出是当前状态和所有输入的函数 B.与Moore型状态机相比,Mealy型的输出变化要领先一个时钟周期C.Mealy型状态机其输出是当前状态的函数

《网络营销》期末考试试卷A卷答案

2010-2011学年度第二学期 09 级《网络营销》期末考试试卷( A 卷 ) 一、单选题(每空 2 分,共 40 分) 1.企业可借助互联网将不同的营销活动进行统一规划和协调,以统 一的资信向消费者传达信息,这体现 了网络营销的(C )特点。 A 、互动性 B 、整合性 C 、跨时空性 D 、成长性 2.网络营销与传统营销相 比,以下说法错误的是( A ) C 、决策速度不同 D 、促销力度不同 B 、大多数网民不希望在网上购物 D 、上网购物的人大多数是高收入者 4.迅速、灵敏地收集市场发展各方面的最新动态是网络商务信息的( A ) A 、及时性 B 、准确性 C 、适度性 D 、经济 5.下列调查问卷中的问题最恰当的是( D ) A 、这种酱油很润口吧? B 、最近两个月你从这家电器商店购买了什么家电产品? C 、请冋你每天看杂志的平均时间为( )小时( )分? D 、你的教育程度:(1)不识字(2)小学(3)中学(4)大学(5)大学以上 6.网络商务信息可以方便地下载到本地计算机上管理,在原有各个网站上也有信息存储系统,可以到原有 信息源中再次查找,说明的是网络商务信息的( C ) A 、加工筛选难度高 B 、准确性高 C 、便于存储 D 、时效性强 7.E-mail 之所以能够成为一种流行的营销工具,主要是因为 E-mail (C ) A 、宣传面广 B 、具有简单性 C 、廉价 D 、具有独立性 8.下列哪个是 E-mail 营销的缺点( B ) A 、满足用户个性化需求 B 、垃圾邮件问题 C 、保密性相对好 D 、促进顾客关系 9. 下列(B )不属于企业创建网站的途径。 A 、自建 B 、购买商品网站 C 、委托开发商建站 D 、使用开发网站 10. 网站在(D )之后进入正常运行期。 A 、网站实现 B 、网页调试 C 、网页维护 D 、网页发布 A 、目标不同 B 、销售方式不同 3.以下叙述中正确的是( A ) A 、大多数网民希望在网上购物 C 、大多数网民现在在网上购物

汇编语言微机原理及接口技术期末复习试卷以及参考答案八

重庆邮电大学2006/2007学年第二学期期末考试题 微机原理与接口技术考试题(A 卷) 一、单项选择题(每题1分,共20题) 1、一般,微机系统硬件由___部分组成,包括______。 A.4,CPU、存储器、I/O设备和接口电路 B.3,存储器,控制器和显示器 C.2,运算器和显示器 D.4,存储器,运算器,显示器和键盘 2、80X86微机系统有三条总线,它们是___________。 A. 地址总线、数据总线和控制总线 B. 地址总线、信息总线和存储器总线 C. 输入总线和输出总线 D. 单总线、面向微处理器双总线和面向存储器双总线 3、80X86微机中用来指出下一条要被执行指令的偏移地址的部件是___。 A.CS B.DS C.ES D.IP 4、在汇编语句MOV BL,’A’中,源操作数的寻址方式是___________。 A.直接寻址B.立即寻址 C.基址寻址D.寄存器间接寻址 5、以下指令中正确的是___________。 A.MOV DS,2D00H B.MOV CL,270 C.IN AL,DX D.MOV [DI],[SI] 6、已定义数据段 DATA SEGEMENT ORG 0213H DA1 DB 15H,34H,55H ADR DW DA1 DATA ENDS 能使AX中数据为偶数的语句是() A.MOV AX,WORD PTR DA1 B.MOV AL,DA1+2 C.MOV AL,BYTE PTR ADR+1 D.MOV AX,WORD PTR DA1+2 7、已知DS=1000H,ES=2000H,SI=0300H,内存10310H开始的两个单元中存放3A4BH,内存20310H开始的两个单元中存放4C5DH,则语句LEA BX,[SI+10H] 执行后,BX的值为________。 A.3A4BH B.4C5DH C.0310H D.3D5BH 8、MOV SP,4210H PUSH AX 执行上述指令后,SP寄存器的值是() A.4211H B.420EH C.420FH D.4212H 9、下列指令中不影响进位标志CF的指令是___________。

EDA期末试卷及答案(2020年九月整理).doc

EDA期末试卷 一、填空题 1.一般把EDA技术的发展分为MOS时代、CMOS 代和ASIC 三个阶段。 2.EDA设计流程包括设计输入、设计实现、实际设计检验和下载编程四个步骤。 3.EDA设计输入主要包括图形输入、HDL文本输入和状态机输入。 4.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为功能仿真。5.VHDL的数据对象包括变量、常量和信号,它们是用来存放各种类型数据的容器。 6.图形文件设计结束后一定要通过仿真,检查设计文件是否正确。 7.以EDA方式设计实现的电路设计文件,最终可以编程下载 到FPGA 和CPLD 芯片中,完成硬件设计和验证。 8.MAX+PLUS的文本文件类型是(后缀名).VHD 。9.在PC上利用VHDL进行项目设计,不允许在根目 录下进行,必须在根目录为设计建立一个工程目录(即文件夹)。

10.VHDL源程序的文件名应与实体名相同,否则无法通过编译。 二、选择题:。 11.在EDA工具中,能完成在目标系统器件上布局布线软件称为(C )A.仿真器 B.综合 器 C.适配器 D.下载器12.在执行MAX+PLUSⅡ的(D )命令,可以精确分析设计电路输入与输出波形间的延时量。 A .Create default symbol B. Simulator C. Compiler D. Timing Analyzer 13.VHDL常用的库是(A ) A. IEEE B.STD C. WORK D. PACKAGE 14.下面既是并行语句又是串行语句的是( C ) A.变量赋值 B.信号赋值 C.PROCESS语 句 D.WHEN…ELSE语句 15.在VHDL中,用语句(D )表示clock的下降沿。

教育学期末考试试卷A卷

教育学期末考试试卷(A)卷 一.填空题(每空1分共20分) 1.1776年,康德在哥尼斯堡大学讲授教育学,这是教育学列入大学课程的开端。 2.德国的梅伊曼拉伊是近代教育学实验派的代表。 3 环境在人的发展中起潜移默化耳濡目染作用。 4 中国第一个近代学制是壬寅学制。 5 巩固性原则的基本要求有在理解的基础上掌握知识、在复习的基础上掌握知识。 6 教育目的主要包括身心素质和社会价值两部分。 7 根据教学评价在教学过程中的作用不同,可以分为诊断性评价、形成性评 价、总结性评价。 8 学生品德的发展是在活动中实现的。 9 教师劳动的特点包括强烈的示范性、独特的创造性、空间的延续性和时间 的连续性。 10 陶冶包括人格感化、环境陶冶、艺术陶冶。 二.(判断只写出对或者错不必说明理由每题1。5分共15分) 1.世界最早的教育专着是《学记》。(√) 2 信息论研究问题的基本方法,是把整体的运动过程当作信息的输入传递和转换消解过程来研究。(×) 3 社会主义教育最先提出教育普及的口号,是社会发展的必然。(×) 4生产力的发展影响教育的速度和规模。(√) 5 “六三三”制又称壬戌学制,是新中国成立后颁布的。(×) 6 教学大纲是根据教学计划,以纲要的形式编写的有关学科教学内容的指导性文件, 它的基本部分是说明部分。(×) 7赞可夫在小学做了“教学与发展”的实验证明:学生的发展远没达到极限,主张高速度高难度教学,教学走在发展的前面。同时论述了教学过程的结构。(×)8老师教学质量除与业务水平有关外,还与教学态度学生的学习态度有关。 (√) 9班主任制定班级目标是要高标准难度大,激励作用才明显。(×) 10 热爱学生不是教师职业道德的核心。(×) 三.简答(共37分) 1.教育对生产力的推动作用有哪些?(6分)P61~63 (1)教育是劳动力再生产的必要手段(2)教育是科学知识技术再生产的手段(3)教育是生产新的科学知识技术的手段 2.怎样理解人的发展过程中的阶段性规律?(10分) 从总体上看,在个体发展的不同阶段会表现出不同的年龄特征及主要矛盾,面临这不同的发展任务,当然,不同的发展阶段之间是相互联系的,上一阶段影响着下一阶段的发展,所以人生的每一个阶段对于人的发展来说,不仅具有本阶段的意义,而且具有人生全程的意义 3.怎样认识教师主导性?(9分)p215三个层次 4.怎样评价班级上课制?(6分) 有利于发挥教师的主导作用,但不利于发挥学生的主体地位;有利于提高教师的效率,但不利于理论联系实际;有利于集体教育,但不利于因材施教。 5.怎样开展德育工作?(6分) 德育内容上要更新;德育方法要改进;从小事做起,由近到远,由小到大,注重实际效

汇编语言期末试卷复习(附答案)上课讲义

选择题 1. 已知X=78,Y= -83则[X+Y]补=() A.0F5H B.0A1H C.0FBH D.65H 2. MOV AX, ES:COUNT[DI] 源操作数的寻址方式是() A.基址寻址 B.立即寻址 C.变址寻址 D.基址变址寻址 3. 指令MOV BX, MASK[BP],若MASK=3500H,SS=1200H,DS=1000H,BP=1000H,那么物理地址为() A.17500H B.16500H C.14500H D.13500H 4. 下列四组寄存器中,在段内寻址时可以提供偏移地址的寄存器组是() A.AX,BX,CX,DX B.BP,SP,IP,CS C.BX,BP,SI,DI D.BH,BL,CH,CL 5. 当执行指令ADD AX,BX后,若AX的内容为2BA0H,设置的奇偶标志位PF=1,下面的叙述正确的是() A. 表示结果中含1的个数为偶数 B. 表示结果中含1的个数为奇数 C. 表示该数为偶数 D. 表示结果中低八位含1的个数为偶数 6. 下列传送指令中,有语法错误的指令是()。 A.MOV CS,AX B.MOV DS,AX C.MOV ES,AX D.MOV SS,AX 7. MOV SP,3210H PUSH AX执行上述指令序理后,SP寄存器的值是()。 A.3211H B. 320EH C.320FH D. 3212H 8. 设(DH)=20H,执行NEG DH指令后,正确的结果是() A.(DH)=20H CF=1 B.(DH)=20H CF=0 C.(DH)=0E0H CF=0 D.(DH)=0E0H CF=1 9. 执行下面指令序列后,结果是() MOV AL,82H CBW A.AX=0FF82H B.AX=8082H C.AX=0082H D.AX=0F82H

C期末考试试卷及答案

C++期末考试试卷及答案1 一、单项选择题(每题2分,共40分) 1. ______不是属于面向对象程序设计的特性 A. 抽象性 B. 数据相关性 C. 多态性 D. 继承性 2. 将对某一类数据的处理算法应用到另一类数据的处理中,要用到C++的______ A. 类 B. 虚函数 C. 运算符重载 D. 模板 3. C++与C语言最根本的不同之处在于_______ A. 使用了类 B. 能够实现变量自动初始化 C. 支持软件重用 D. 支持接口重用 4. 动态内存分配的主要目的是_______ A. 使程序按动态联编方式运行 B. 正确合理的使用内存 C. 提高程序的运行速度 D. 提高程序的可维护性 5. 在C++函数的形参前加const关键字,是为了提高函数的_______ A. 数据封装性 B. 可理解性 C. 可维护性 D. 可重用性 6. 函数重载的目的是________ A. 实现共享 B. 使用方便,提高可读性 C. 提高速度 D. 减少空间 7. 从程序片断:char name[] = "C++"; course(name);可判断函数course的调用采用的是_______ A. 传值调用 B. 带缺省参数值的函数调用 C. 引用调用 D. 传址调用 8. 用来说明类中公有成员的关键字是________

9. 如果一个类的成员函数print()不修改类的数据成员值,则应将其声明为 A. void print() const; B. const void print(); C. void const print(); D. void print(const); 10. 下列关于构造函数的论述中,不正确的是_______ A. 构造函数的函数名与类名相同 B. 构造函数可以设置默认参数 C. 构造函数的返回类型缺省为int型 D. 构造函数可以重载 11. 在程序代码:A::A(int a, int *b) { this->x = a; this->y = b; }中,this的类型是______ A. int B. int * C. A D. A * 12. 内存泄漏是指_______ A. 内存中的数据出现丢失 B.试图释放一个已经释放了的动态分配的堆内存 C. 函数中局部变量所占的栈内存没有及时回收 D. 动态分配的堆内存在程序退出后始终被占用 A. 私有成员数据 B. 私有成员函数 C. 公有成员数据 D. 公有成员函数 14. 友元函数_______ A. 可以被声明为const B. 没有this指针 C. 可以用类名或对象名来调用 D. 只能用对象名来调用 15. 若一个类的成员函数前用static关键字修饰,则该成员函数________ A. 可以被声明为const B. 没有this指针 C. 可以访问该类的所有成员 D. 只能用对象名来调用 16. C++是用_______实现接口重用的

EDA技术期末试卷(含答案)

班级 学号 姓名 密 封 线 内 不 得 答 题 一、单项选择题(30分) 1.以下描述错误的是 C A .QuartusII 是Altera 提供的FPGA/CPLD 集成开发环境 B .Altera 是世界上最大的可编程逻辑器件供应商之一 C .MAX+plusII 是Altera 前一代FPGA/CPL D 集成开发环境QuartusII 的更新换代新产品 D .QuartusII 完全支持VHDL 、Verilog 的设计流程 2.以下工具中属于FPGA/CPLD 开发工具中的专用综合器的是 B A .ModelSim B .Leonardo Spectrum C .Active HDL D .QuartusII 3.以下器件中属于Xilinx 公司生产的是 C A .ispLSI 系列器件 B .MAX 系列器件 C .XC9500系列器件 D .FLEX 系列器件 4.以下关于信号和变量的描述中错误的是 B A .信号是描述硬件系统的基本数据对象,它的性质类似于连接线 B .信号的定义范围是结构体、进程 C .除了没有方向说明以外,信号与实体的端口概念是一致的 D .在进程中不能将变量列入敏感信号列表中 5.以下关于状态机的描述中正确的是 B A .Moore 型状态机其输出是当前状态和所有输入的函数 B .与Moore 型状态机相比,Mealy 型的输出变化要领先一个时钟周期 C .Mealy 型状态机其输出是当前状态的函数 D .以上都不对 6.下列标识符中, B 是不合法的标识符。 A .PP0 B .END C .Not_Ack D .sig 7.大规模可编程器件主要有FPGA 、CPLD 两类,下列对CPLD 结构与工作原理的描述中,正确的是 C 。 A .CPLD 即是现场可编程逻辑器件的英文简称 B .CPLD 是基于查找表结构的可编程逻辑器件 C .早期的CPL D 是从GAL 的结构扩展而来 D .在Altera 公司生产的器件中,FLEX10K 系列属CPLD 结构 8.综合是EDA 设计流程的关键步骤,在下面对综合的描述中, D 是错误的。 A .综合就是把抽象设计层次中的一种表示转化成另一种表示的过程 B .综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD 的基本结构相映射的网表文件 C .为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束 D .综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的) 9.嵌套使用IF 语句,其综合结果可实现 A 。 A .带优先级且条件相与的逻辑电路 B .条件相或的逻辑电路 C .三态控制电路 D .双向控制电路 10.在VHDL 语言中,下列对时钟边沿检测描述中,错误的是 D 。 A .if clk'event and clk = ‘1’ then B .if falling_edge(clk) then C .if clk’event and clk = ‘0’ then D .if clk’stable and not clk = ‘1’ then 11.下列那个流程是正确的基于EDA 软件的FPGA / CPLD 设计流程 B A .原理图/HDL 文本输入→适配→综合→功能仿真→编程下载→硬件测试 B .原理图/HDL 文本输入→功能仿真→综合→适配→编程下载→硬件测试 C .原理图/HDL 文本输入→功能仿真→综合→编程下载→→适配硬件测试; D .原理图/HDL 文本输入→功能仿真→适配→编程下载→综合→硬件测试 12.在VHDL 语言中,下列对进程(PROCESS )语句的语句结构及语法规则的描述中,正确的是 A 。 A .PROCESS 为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动 B .敏感信号参数表中,应列出进程中使用的所有输入信号 C .进程由说明部分、结构体部分、和敏感信号参数表三部分组成 D .当前进程中声明的变量也可用于其他进程 13.下列语句中,不属于并行语句的是 B A .进程语句 B .CAS E 语句 C .元件例化语句 D .WHEN …ELSE …语句 14.VHDL 语言共支持四种常用库,其中哪种库是用户的VHDL 设计现行工作 库 D A .IEEE 库 B .VITAL 库 C .STD 库 D .WORK 库 15.VHDL 语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述 D 。 A .器件外部特性 B .器件的综合约束 C .器件外部特性与内部功能 D .器件的内部功能 二、EDA 名词解释,写出下列缩写的中文含义(10分) 1.CPLD :复杂可编程逻辑器件 2.ASIC :专用集成电路 3.LUT :查找表 4.EDA :电子设计自动化 5.ROM :只读存储器 三、程序填空题(20分) 以下是一个模为24(0~23)的8421BCD 码加法计数器VHDL 描述,请补充完整

数据库期末考试试卷A卷.docx

数据库期末考试试卷 A 卷 时间: 90 分钟总分: 100 分 题次一( 50 分)二( 40 分)三( 10 分)总( 100 分) 得分 注:请大家在试卷上注明自己的学号。 :一、选择题。(每题 2 分,共50 分) 名题号12345678910 姓答案 题号11121314151617181920 答案 题号2122232425 答案 1、 ACCESS 数据库是()。 A 、层状数据库 B、网状数据库 C、关系型数据库 D、树状数据库 2、在 ACCESS 数据库中,数据保存在()中。 A 、窗体 B、查询 :C、报表 号D、表 学3、数据库系统的核心是() A 、用户 B、数据 C、数据库管理系统 D、硬件 4、关系数据库中,一个关系代表一个() A 、表 B、查询 C、行 D、列 5、 ACCESS 数据库文件的扩展名是()。 A 、 DBF :B、 DBT C、 M DF 级 D、 MDB 班 6、关系类型中的“一对多”指的是()。 A 、一个字段可以有许多输入项 B、一条记录可以与不同表中的多条记录相关 C、一个表可以有多个记录 D、一个数据库可以有多个表 7、数据库文件中包含()对象。 A 、表 B、查询 C、窗体 D、以上都包含 8、在 ACCESS 的下列数据类型中,不能建立索引的数据类型是()。 A 、文本型 B、备注型 C、数字型 D、日期时间型 9、如果某一字段数据类型为文本型、字段大小为8,该字段中最多可输入()个汉字 A 、 8 B 、 4 C、 16 D 、32 10、在定义表字段时,输入掩码向导只能处理哪两种字段类型()。 A 、文本和数字B、文本和日期型 C、数据和日期型 D、货币和日期 11、下列哪一个不是设置“关系”时的选项()。 A 、实施参照完整性B、级联更新相关字段 C、级联追加相关记录 D、级联删除相关记录 12、如果字段内容为声音文件,可将此字段定义为()类型。 A 、文本B、查阅向导C、 OLE 对象D、备注 13、在表设计视图中,如果要限定数据的输入格式,应修改字段的()属性。 A 、格式B、有效性规则C、输入格式 D 、输入掩码 14、一般情况下,以下哪个字段可以作为主关键字() A 、基本工资 B 、补贴C、职工姓名D、身份证号码 15、级联删除相关记录的含义是() A、删除主表中的记录,将删除任何相关表中的相关记录 B、删除相关表中的记录,将删除主表中的记录 C、只能删除“一对一”表中的相关记录 D、不能删除“一对多”表中的相关记录 16、文本型字段最多可以存放()个字符。 A 、250B、 10C、 254D、 255 17、下面有关主键的叙述正确的是()。 A、不同的记录可以具有重复的主键值或空值 B、一个表中的主键何以是一个或多个 C、在一个表中的主键只可以是一个字段 D、表中的主键的数据类型必须定义为自动编号或文本 18、下面有关ACCESS 数据库的叙述正确的是() 1

汇编语言期末试卷A卷及答案

浙江理工大学2011—2012学年第 1 学期 《汇编语言A》期末试卷(A)卷 班级:学号:姓名: 一、单项选择题(在每小题的四个备选答案中,选择一个正确答案填在提干后的括号内,每小题2分,共30分) 1.下面表示段定义结束的命令是( ) A. ENDP B. ENDS C. ENDM D. END 2.8086CPU在基址变址寻址方式中,基址寄存器可以是( ) A. AX或CX B. BX或BP C. SI或BX D. DX或DI 3.执行CALL FAR PTR SUB1指令后,在堆栈区域中应( ) A.压入4个字节的内容B.弹出4个字节的内容 C.压入2个字节的内容D.弹出2个字节的内容 4.完成将累加器AL清零,并使进位标志CF清零, 下面错误的指令是( ) A. MOV AL, 00H B. AND AL, 00H C. XOR AL, AL D. SUB AL, AL 5.完成同指令XCHG AX,BX相同功能的指令或指令序列是( ) A.MOV AX, BX B. PUSH AX POP BX C. MOV BX, AX D. MOV CX, AX MOV AX, BX MOV CX, AX 6.十进制数- 115用16位补码表示是() A.F115H B.F073H C.FF8DH D.FF73H 7.指令指针寄存器是() A. IP B. SP C. BP D. PSW 8.指令JMP WORD PTR [BX][SI]属于() A..寄存器间接寻址 B.相对基址变址寻址  C.段内间接寻址 D.段间间接寻址 9.下列传送指令中有语法错误的是( ) A. MOV CS, AX B. MOV DS, AX C. MOV SS, AX D. MOV ES, AX 10.执行下列程序,设(SS)=2000H,AL中的数据在内存地址___________中( ) MOV SP, 3000H MOV AX, 1234H PUSH AX ┅ A. 23000H B. 22FFCH C. 22FFEH D. 22FFFH 11.逻辑移位指令SHR用于 A. 带符号数乘2 B. 带符号数除2 C. 无符号数乘2 D. 无符号数除2 12.若用数据定义伪指令定义数据:BUF DB 4 DUP(0,2 DUP(1,0)), 问:定义后,存储单元中有数据0100H的字单元个数是( ) A. 4 B. 3 C. 8 D. 12 13.执行下列指令后:( ) STR1 DW ‘AB’ STR2 DB 14 DUP(?) CNT EQU $ - STR1 MOV CX,CNT MOV AX,STR1 则寄存器CL的值是 A. 10H B. 12H C. 0EH D. 0FH 14.上题中,指令执行后,寄存器AX的值是( ) A. 00ABH B. 00BAH C. 4142H D. 4241H 15.与MOV BX,OFFSET VAR指令完全等效的指令( )

初一期末考试试卷及答案

初一期末考试试卷及答案2019 一、请你选择(共40分) ——认真细致,点滴做起! ▲单项选择(8小题,每题3分,共24分。下列每小题的四个选项中,只有一项是最符合题意的,请将所选项字母填入题后括号) 1、升入初中,进入新的学习环境,绝大部分学生感觉不适合,这是() A.正常的,没必要理会 B.正常的,但也必须采取适当措施积极应对 C.会严重危害身心健康 D.不正常的,是想辍学的表现 2、在新的学校,大家都希望尽快让老师理解和了解自己。下列做法准确的是() A.上课说话,让老师理解自己 B.独来独往,让老师注意自己 C.学习中积极主动,向老师提问题、请教 D.让父母来找老师,替你说点好话 3、良好的班集体不但能保障我们学习活动的顺利实行,而且能促动我们生活水平和综合素质的提升。它的建设取决于() A.是否具有健康向上的班风B.班主任对班级同学的严厉水准 C.班级同学的自身素质D.教师和教学设备的优劣

4、我国保障适龄儿童、少年接受义务教育的专门法律是() A.教育法B.宪法C.刑法D.义务教育法 5、观察漫画,图中父亲的行为侵犯了孩子() A.受教育权B.人格尊严 C.姓名权D.隐私权 6、无论别人给予我们的协助多么微不足道,我们都应该诚恳的说一声() A、请B对不起C、谢谢D、劳驾 7、即使我们每个人的境遇和条件不同,但人生来平等,这种平等应该得到充分的尊重,人与人之间的平等,集中表现在() ①人格上的平等②出身的平等③法律地位上的平等④财富上的平均 A、①④ B、②④ C、①③ D、③④ 8、宽容并不意味着是非不分,曲直不辨,也不是爱憎不明,麻木不仁,这充分说明() A、宽容就是要原谅一切 B、宽容是原谅和不计较他人 C、宽容就是宽厚待人,与人为善 D、宽容是有原则的,不是盲目的 ▲多项选择(4小题,每小题4分,共16分。下列每小题的四个选项中,至少有两项是符合题意的,请将所选项字母填入题后括号。多选、错选均不得分。少选者:若有两个准确选项,只选一项者得2

(完整版)EDA期末考试题1

1.一个项目的输入输出端口是定义在( A )1-5 ACDCD 6-10 CCACA A. 实体中;. B. 结构体中; C. 任何位置; D. 进程中。 2. MAXPLUS2中编译VHDL源程序时要求( C ) A. 文件名和实体可以不同名; B. 文件名和实体名无关; C. 文件名和实体名要相同; D. 不确定。 3. VHDL语言中变量定义的位置是(D ) A. 实体中中任何位置; B. 实体中特定位置; C. 结构体中任何位置; D. 结构体中特定位置。 4.可以不必声明而直接引用的数据类型是(C ) A. STD_LOGIC ; B. STD_LOGIC_VECTOR; C. BIT; D. ARRAY。 5. MAXPLUS2不支持的输入方式是(D ) A 文本输入;.B. 原理图输入;C. 波形输入;D. 矢量输入。 6.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是( C ) A. FPGA全称为复杂可编程逻辑器件; B. FPGA是基于乘积项结构的可编程逻辑器件; C. 基于SRAM的FPGA器件,在每次上电后必须进行一次配置; D. 在Altera公司生产的器件中,MAX7000系列属FPGA结构。 7.下面不属于顺序语句的是( C ) A. IF语句; B. LOOP语句; C. PROCESS语句; D. CASE语句。 8. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,实体体描述的是( A ) A. 器件外部特性; B. 器件的内部功能; C. 器件的综合约束; D. 器件外部特性与内部功能。 9. 进程中的信号赋值语句,其信号更新是( C ) A. 按顺序完成; B. 比变量更快完成; C. 在进程的最后完成; D. 都不对。 10. 嵌套使用IF语句,其综合结果可实现:(A ) A. 带优先级且条件相与的逻辑电路; B. 条件相或的逻辑电路; C. 三态控制电路; D. 双向控制电路。 一、单项选择题:(20分) 1. IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述

《会计学》期末考试试卷 A卷

大学____学院201#—201#学年第____学期 《会计学》期末考试试卷 一、单项选择题(在每小题的四个备选答案中,选出一个正确的,答案请誊写在答题纸上。每小题1分,共20分) 1.借贷记账法下,收入类账户在期末()。[ ] A.一般无余额 B.可能有借方余额 C.一般有借方余额 D.一般有贷方余额 【参考答案】 2.下列会计账户中属于资产类账户的是()。[ ] A.应付票据 B.预付账款 C.实收资本 D.预收账款 【参考答案】 3.负债类账户的结构特点是()。[ ] A.借方登记增加,贷方登记减少,余额一般在借方。 B.借方登记减少,贷方登记增加,余额一般在借方。 C.借方登记增加,贷方登记减少,余额一般在贷方。 D.借方登记减少,贷方登记增加,余额一般在贷方。 【参考答案】 4.在借贷记帐法下,资产类帐户的期末余额=()。[ ] A.期初借方余额+本期借方发生额-本期贷方发生额 B.期初贷方余额+本期贷方发生额-本期借方发生额 C.期初借方余额+本期贷方发生额-本期借方发生额 D.期初贷方余额+本期借方发生额-本期贷方发生额 【参考答案】 5.引起资产和所有者权益同时增加的经济业务是()。[ ] A、收到股东投资款 B、从税后利润中提取盈余公积 C、动用银行存款购买库存商品 D、从银行取得一笔短期借款 【参考答案】 6. .下列科目中,不能作为“本年利润”的对应账户的是()。[ ] A.管理费用 B.营业费用 C.利润分配 D.固定资产 【参考答案】 7.计 算发出存 货的成本 时,《企业 会计准则 第1号—— 存货》未允 许采用的 计价方法 是()。 [ ] A.移动加权平均法 B.先进先出法 C.加权平均法 D.后进先出法 【参考答案】 8.下列各项中,不通过“其他货币资金”科目核算的是()。[ ] A.信用证存款B.预借给职工的出差备用金 C.信用卡存款D.银行本票存款 【参考答案】 9.企业出售无形资产发生的净损失,借记()科目。[ ]A.主营业务成本B.其他业务支出 C.管理费用 D.营业外支出 【参考答案】 10.企业购进货物发生的下列相关税金中,不应计入资产取得成本的是()。[ ] A. 被认定为增值税一般纳税人的企业购进商品所支付的增值税 B.被认定为增值税小规模纳税人的企业购进商品支付的增值税 C.进口商品支付的关税 D. 被认定为一般纳税人的企业购进固定资产支付的增值税 【参考答案】 11.下列关于会计凭证的说法中,正确的是()。[ ] A.原始凭证金额有错误的,可以在原始凭证上划线更正。 B.职工因公出差的借款凭据,必须附在记账凭证之后。收回借款时,应当另开收据或者退还借据副本,不得退还原借款收据。 C.不同内容和类别的原始凭证可以汇总填制在一张记账凭证上。 D.所有记账凭证都必须附有原始凭证。 【参考答案】 12.关于会计基本前提,下列说法中不正确的是:[ ] A.一般来说,法律主体是一个会计主体;但会计主体不一定是法律主体。 B.业务收支以人民币以外的货币为主的企业,可以选定其中一种货币作为记账本位

期末考试试卷答案

济南大学学年 2 学期考试试卷(A卷) 课程西方经济学(微观部分)授课教师 考试时间考试班级 姓名学号 一. 单向选择题(共题,每题1分,共分) 1.微观经济学关于人性的假设为:( A) 2.A.经济人 B.复杂人 C.社会人 D.自我实现人 3.在得出某种商品的个人需求曲线时,下列因素除哪一种外均保持为常数(D ) 4.A.个人收入 B.其余商品的价格 C.个人偏好 D.所考虑商品的价格 5.需求量和价格之所以呈反方向变化,是因为(C ) 6.A.替代效应 B.收入效应 C.边际效用递减 D.边际技术替代率递减 7.消费者预期某物品未来价格要上升,则对该物品当前需求会(B ) 8.A.减少 B.增加 C.不变 D.上述三种情况都可能 9.下列因素哪一种不会使需求曲线作位移(B ) 10.A.消费者收入水平发生变化 B.商品价格下降 11.C.相关商品价格下降 D.消费者偏好变化 12.若消费者收入水平突然增加,同时这种产品的生产技术有很大改进,可以预料(D) 13.A.该商品的需求曲线和供给曲线都向右移动并使均衡价格和产量提高 14.B.该商品的需求曲线和供给曲线都向右移动并使均衡价格和产量下降 15.C.该商品的需求曲线和供给曲线都向左移动并使均衡价格上升而均衡产量下降16.D.二该商品的需求曲线和供给曲线I向句右移动并使均衡产量增加,但均衡价 格可能上升也可能下降 17.如果某种商品供给曲线的斜率为正,在保持其余因素不变的条件下,该商品价格 的上升,导致(A ) 18.A.供给增加 B.供给量增加 C.供给减少 D.供给量减少 19.建筑工人工资提高将使(A ) 20.A.新房子供给曲线左移并使房子价格上升 21.B.新房子供给曲线右移并使房子价格下降 22.C.新房子需求曲线左移并使房子价格下降 23.D.新房子需求曲线右移并使房子价格上升 24.若一条线性的需求曲线与一条非线性需求曲线相切,则切点处两曲线的需求价格 弹性(A ) 25.A.相同 B.不同 C.可能相同也可能不同 D.依切点所在位置而定 26.直线型需求曲线的斜率不变,因此其价格弹性也不变,这个说法( B ) 27.A.一定正确 B.一定不正确 C.可能不正确 D.无法断定正确不正确 28.对劣等商品需求的收入弹性Em是(C ) 29.A.Em<1 B.Em=O C.Em<O D.Em>0 30.若x和y二产品的交叉弹性是,则(D )。 31.A.x和y是替代品 B.x和y是正常商品 32.C.x和y是劣质品 D.x和y是互补品 33.对于一种商品,消费者想要有的数量都已经拥有了,这时(B ) 34.A.边际效用最大 B.边际效用为零 C.总效用为零 D.以上都不对 35.当总效用以固定比率增加时,边际效用(B) 36.A.增加 B.不变 C.减少 D.为零 37.无差异曲线为斜率不变的直线时,表示相结合的两种商品是(B ) 38.A.可以替代的 B.完全替代的 C.互补的 D.互不相关的。

相关文档
相关文档 最新文档